മറ്റേറ നിയോസ് വി എംബഡഡ് പ്രോസസർ

സ്പെസിഫിക്കേഷനുകൾ

  • ഉൽപ്പന്ന നാമം: നിയോസ് വി പ്രോസസർ
  • സോഫ്റ്റ്‌വെയർ അനുയോജ്യത: ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ
  • പ്രോസസ്സർ തരം: ആൾട്ടേര FPGA
  • മെമ്മറി സിസ്റ്റം: അസ്ഥിരവും അസ്ഥിരമല്ലാത്തതുമായ മെമ്മറി
  • ആശയവിനിമയ ഇന്റർഫേസ്: UART ഏജന്റ്

നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ

നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം രൂപകൽപ്പന ചെയ്യുന്നതിന്, ഈ ഘട്ടങ്ങൾ പാലിക്കുക:

  1. പ്ലാറ്റ്‌ഫോം ഡിസൈനർ ഉപയോഗിച്ച് നിയോസ് വി പ്രോസസർ സിസ്റ്റം ഡിസൈൻ സൃഷ്ടിക്കുക.
  2. ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റിലേക്ക് സിസ്റ്റം സംയോജിപ്പിക്കുക.
  3. വോളറ്റൈൽ മെമ്മറിയും നോൺ-വോളറ്റൈൽ മെമ്മറിയും ഉൾപ്പെടുന്ന മെമ്മറി സിസ്റ്റം രൂപകൽപ്പന ചെയ്യുക.
  4. ക്ലോക്കുകളും പുനഃസജ്ജീകരണങ്ങളും നടപ്പിലാക്കുക. മികച്ച രീതികൾ.
  5. കാര്യക്ഷമമായ പ്രവർത്തനത്തിനായി ഡിഫോൾട്ട്, UART ഏജന്റുമാരെ നിയോഗിക്കുക.

നിയോസ് വി പ്രോസസർ സോഫ്റ്റ്‌വെയർ സിസ്റ്റം ഡിസൈൻ

നിയോസ് വി പ്രോസസ്സറിനുള്ള സോഫ്റ്റ്‌വെയർ സിസ്റ്റം രൂപകൽപ്പന ചെയ്യാൻ:

  1. നിയോസ് വി പ്രോസസറിനായുള്ള സോഫ്റ്റ്‌വെയർ വികസന ഫ്ലോ പിന്തുടരുക.
  2. ബോർഡ് സപ്പോർട്ട് പാക്കേജ് പ്രോജക്റ്റും ആപ്ലിക്കേഷൻ പ്രോജക്റ്റും സൃഷ്ടിക്കുക.

നിയോസ് വി പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും

നിയോസ് വി പ്രോസസർ കോൺഫിഗർ ചെയ്യുന്നതിനും ബൂട്ട് ചെയ്യുന്നതിനും:

  1. കോൺഫിഗറേഷൻ, ബൂട്ടിംഗ് സൊല്യൂഷനുകൾ എന്നിവയെക്കുറിച്ചുള്ള ആമുഖം മനസ്സിലാക്കുക.
  2. സുഗമമായ പ്രവർത്തനത്തിനായി ആപ്ലിക്കേഷനുകൾ ലിങ്ക് ചെയ്യുക.

Nios® V എംബഡഡ് പ്രോസസറിനെക്കുറിച്ച്
1.1. Altera® FPGA, എംബഡഡ് പ്രോസസ്സറുകൾ ഓവർview
നിരവധി ഓപ്ഷനുകൾ നൽകുമ്പോൾ തന്നെ ഒരു സമ്പൂർണ്ണ മൈക്രോപ്രൊസസ്സറായി പ്രവർത്തിക്കുന്ന ലോജിക് നടപ്പിലാക്കാൻ ആൾട്ടേര FPGA ഉപകരണങ്ങൾക്ക് കഴിയും.
ഡിസ്ക്രീറ്റ് മൈക്രോപ്രൊസസ്സറുകളും ആൾട്ടേര എഫ്പിജിഎയും തമ്മിലുള്ള ഒരു പ്രധാന വ്യത്യാസം, ആൾട്ടേര എഫ്പിജിഎ ഫാബ്രിക് പവർ അപ്പ് ചെയ്യുമ്പോൾ അതിൽ ലോജിക് ഇല്ല എന്നതാണ്. RISC-V സ്പെസിഫിക്കേഷനെ അടിസ്ഥാനമാക്കിയുള്ള ഒരു സോഫ്റ്റ് ഇന്റലക്ച്വൽ പ്രോപ്പർട്ടി (ഐപി) പ്രോസസറാണ് നിയോസ്® വി പ്രോസസർ. ഒരു നിയോസ് വി പ്രോസസർ അധിഷ്ഠിത സിസ്റ്റത്തിൽ സോഫ്റ്റ്‌വെയർ പ്രവർത്തിപ്പിക്കുന്നതിന് മുമ്പ്, ഒരു നിയോസ് വി പ്രോസസർ അടങ്ങിയിരിക്കുന്ന ഒരു ഹാർഡ്‌വെയർ ഡിസൈൻ ഉപയോഗിച്ച് നിങ്ങൾ ആൾട്ടേര എഫ്പിജിഎ ഉപകരണം കോൺഫിഗർ ചെയ്യണം. ഡിസൈനിന്റെ ആവശ്യകതകൾ അനുസരിച്ച്, ആൾട്ടേര എഫ്പിജിഎയിൽ എവിടെയും നിയോസ് വി പ്രോസസർ സ്ഥാപിക്കാൻ കഴിയും.


നിങ്ങളുടെ Altera® FPGA IP-അധിഷ്ഠിത എംബഡഡ് സിസ്റ്റം ഒരു ഡിസ്‌ക്രീറ്റ് മൈക്രോപ്രൊസസ്സർ അധിഷ്ഠിത സിസ്റ്റമായി പ്രവർത്തിക്കാൻ പ്രാപ്തമാക്കുന്നതിന്, നിങ്ങളുടെ സിസ്റ്റത്തിൽ ഇനിപ്പറയുന്നവ ഉൾപ്പെടുത്തണം: · AJTAG ആൾട്ടേര എഫ്‌പി‌ജി‌എ കോൺഫിഗറേഷൻ, ഹാർഡ്‌വെയർ, സോഫ്റ്റ്‌വെയർ എന്നിവയെ പിന്തുണയ്ക്കുന്നതിനുള്ള ഇന്റർഫേസ്.
ഡീബഗ്ഗിംഗ് · ഒരു പവർ-അപ്പ് ആൾട്ടേര FPGA കോൺഫിഗറേഷൻ മെക്കാനിസം
നിങ്ങളുടെ സിസ്റ്റത്തിന് ഈ കഴിവുകൾ ഉണ്ടെങ്കിൽ, Altera FPGA-യിൽ ലോഡ് ചെയ്‌തിരിക്കുന്ന മുൻകൂട്ടി പരീക്ഷിച്ച ഹാർഡ്‌വെയർ ഡിസൈനിൽ നിന്ന് നിങ്ങളുടെ ഡിസൈൻ പരിഷ്കരിക്കാൻ തുടങ്ങാം. ഒരു Altera FPGA ഉപയോഗിക്കുന്നത് പ്രശ്‌നങ്ങൾ പരിഹരിക്കുന്നതിനോ പുതിയ പ്രവർത്തനം ചേർക്കുന്നതിനോ നിങ്ങളുടെ ഡിസൈൻ വേഗത്തിൽ പരിഷ്‌ക്കരിക്കാനും നിങ്ങളെ അനുവദിക്കുന്നു. നിങ്ങളുടെ സിസ്റ്റത്തിന്റെ J ഉപയോഗിച്ച് Altera FPGA പുനഃക്രമീകരിച്ചുകൊണ്ട് നിങ്ങൾക്ക് ഈ പുതിയ ഹാർഡ്‌വെയർ ഡിസൈനുകൾ എളുപ്പത്തിൽ പരീക്ഷിക്കാൻ കഴിയും.TAG ഇൻ്റർഫേസ്.
ജെTAG ഇന്റർഫേസ് ഹാർഡ്‌വെയറും സോഫ്റ്റ്‌വെയർ വികസനവും പിന്തുണയ്ക്കുന്നു. J ഉപയോഗിച്ച് നിങ്ങൾക്ക് ഇനിപ്പറയുന്ന ജോലികൾ ചെയ്യാൻ കഴിയും.TAG ഇന്റർഫേസ്: · ആൾട്ടേര FPGA കോൺഫിഗർ ചെയ്യുക · സോഫ്റ്റ്‌വെയർ ഡൗൺലോഡ് ചെയ്ത് ഡീബഗ് ചെയ്യുക · UART പോലുള്ള ഒരു ഇന്റർഫേസ് വഴി ആൾട്ടേര FPGA-യുമായി ആശയവിനിമയം നടത്തുക (JTAG UART
ടെർമിനൽ) · ഡീബഗ് ഹാർഡ്‌വെയർ (സിഗ്നൽ ടാപ്പ് എംബഡഡ് ലോജിക് അനലൈസർ ഉപയോഗിച്ച്) · പ്രോഗ്രാം ഫ്ലാഷ് മെമ്മറി
Nios V പ്രൊസസർ അധിഷ്ഠിത ഡിസൈൻ ഉപയോഗിച്ച് Altera FPGA കോൺഫിഗർ ചെയ്ത ശേഷം, സോഫ്റ്റ്‌വെയർ വികസന പ്രവാഹം ഡിസ്ക്രീറ്റ് മൈക്രോകൺട്രോളർ ഡിസൈനുകളുടെ പ്രവാഹത്തിന് സമാനമായിരിക്കും.


ബന്ധപ്പെട്ട വിവരങ്ങൾ · AN 985: നിയോസ് V പ്രോസസർ ട്യൂട്ടോറിയൽ
ഒരു ലളിതമായ നിയോസ് V പ്രൊസസർ സിസ്റ്റം സൃഷ്ടിക്കുന്നതിനെക്കുറിച്ചും ഹലോ വേൾഡ് ആപ്ലിക്കേഷൻ പ്രവർത്തിപ്പിക്കുന്നതിനെക്കുറിച്ചുമുള്ള ഒരു ദ്രുത ആരംഭ ഗൈഡ്.
© ആൾട്ടേര കോർപ്പറേഷൻ. ആൾട്ടേര, ആൾട്ടേര ലോഗോ, `a' ലോഗോ, മറ്റ് ആൾട്ടേര മാർക്കുകൾ എന്നിവ ആൾട്ടേര കോർപ്പറേഷന്റെ വ്യാപാരമുദ്രകളാണ്. ഏതൊരു ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും ഏത് സമയത്തും അറിയിപ്പ് കൂടാതെ മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം ആൾട്ടേരയിൽ നിക്ഷിപ്തമാണ്. ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങൾ, ഉൽപ്പന്നം അല്ലെങ്കിൽ സേവനം എന്നിവയുടെ പ്രയോഗത്തിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന യാതൊരു ഉത്തരവാദിത്തമോ ബാധ്യതയോ ആൾട്ടേര ഏറ്റെടുക്കുന്നില്ല, ആൾട്ടേര രേഖാമൂലം വ്യക്തമായി സമ്മതിച്ചതൊഴിച്ചാൽ. പ്രസിദ്ധീകരിച്ച ഏതെങ്കിലും വിവരങ്ങളെ ആശ്രയിക്കുന്നതിനും ഉൽപ്പന്നങ്ങൾക്കോ ​​സേവനങ്ങൾക്കോ ​​വേണ്ടി ഓർഡർ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സ്പെസിഫിക്കേഷനുകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ആൾട്ടേര ഉപഭോക്താക്കളോട് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.

1. Nios® V എംബെഡഡ് പ്രോസസ്സർ 726952 നെ കുറിച്ച് | 2025.07.16
· നിയോസ് വി പ്രോസസർ റഫറൻസ് മാനുവൽ നിയോസ് വി പ്രോസസർ പ്രകടന ബെഞ്ച്മാർക്കുകൾ, പ്രോസസർ ആർക്കിടെക്ചർ, പ്രോഗ്രാമിംഗ് മോഡൽ, കോർ ഇംപ്ലിമെന്റേഷൻ എന്നിവയെക്കുറിച്ചുള്ള വിവരങ്ങൾ നൽകുന്നു.
· എംബഡഡ് പെരിഫറൽസ് ഐപി ഉപയോക്തൃ ഗൈഡ് · നിയോസ് വി പ്രോസസർ സോഫ്റ്റ്‌വെയർ ഡെവലപ്പർ ഹാൻഡ്‌ബുക്ക്


നിയോസ് വി പ്രോസസർ സോഫ്റ്റ്‌വെയർ വികസന പരിതസ്ഥിതി, ലഭ്യമായ ഉപകരണങ്ങൾ, നിയോസ് വി പ്രോസസറിൽ പ്രവർത്തിക്കുന്നതിനുള്ള സോഫ്റ്റ്‌വെയർ നിർമ്മിക്കുന്നതിനുള്ള പ്രക്രിയ എന്നിവ വിവരിക്കുന്നു. · ആൾട്ടേര എഫ്‌പി‌ജി‌എകൾക്കായുള്ള ആഷ്‌ലിംഗ്* റിസ്‌ക്ഫ്രീ* ഇന്റഗ്രേറ്റഡ് ഡെവലപ്‌മെന്റ് എൻവയോൺമെന്റ് (ഐഡിഇ) ഉപയോക്തൃ ഗൈഡ് ആൾട്ടേര എഫ്‌പി‌ജി‌എകൾക്കായുള്ള ആഷ്‌ലിംഗ്* റിസ്‌ക്ഫ്രീ* ഇന്റഗ്രേറ്റഡ് ഡെവലപ്‌മെന്റ് എൻവയോൺമെന്റ് (ഐഡിഇ) ആർമും നിയോസ് വി കോർ പ്രോസസറും വിവരിക്കുന്നു. · നിയോസ് വി പ്രോസസർ ആൾട്ടേര എഫ്‌പി‌ജി‌എ ഐപി റിലീസ് നോട്ടുകൾ
1.2 Quartus® Prime Software Support
ക്വാർട്ടസ്® പ്രൈം പ്രോ എഡിഷൻ സോഫ്റ്റ്‌വെയറിനും ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്‌വെയറിനും നിയോസ് വി പ്രോസസർ ബിൽഡ് ഫ്ലോ വ്യത്യസ്തമാണ്. വ്യത്യാസങ്ങളെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക് AN 980: നിയോസ് വി പ്രോസസർ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ പിന്തുണ കാണുക.
ബന്ധപ്പെട്ട വിവരങ്ങൾ AN 980: നിയോസ് V പ്രോസസർ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ പിന്തുണ
1.3. നിയോസ് V പ്രോസസ്സർ ലൈസൻസിംഗ്
ഓരോ Nios V പ്രൊസസർ വേരിയന്റിനും അതിന്റേതായ ലൈസൻസ് കീ ഉണ്ട്. ലൈസൻസ് കീ സ്വന്തമാക്കിയാൽ, കാലഹരണ തീയതി വരെ എല്ലാ Nios V പ്രൊസസർ പ്രോജക്റ്റുകൾക്കും നിങ്ങൾക്ക് ഒരേ ലൈസൻസ് കീ ഉപയോഗിക്കാം. നിങ്ങൾക്ക് Nios V പ്രൊസസർ ആൾട്ടേര FPGA ഐപി ലൈസൻസുകൾ സൗജന്യമായി സ്വന്തമാക്കാം.
Nios V പ്രൊസസർ ലൈസൻസ് കീ ലിസ്റ്റ് Altera FPGA സെൽഫ്-സർവീസ് ലൈസൻസിംഗ് സെന്ററിൽ ലഭ്യമാണ്. സൈൻ അപ്പ് ഫോർ ഇവാലുവേഷൻ അല്ലെങ്കിൽ ഫ്രീ ലൈസൻസ് ടാബിൽ ക്ലിക്ക് ചെയ്യുക, തുടർന്ന് അഭ്യർത്ഥന നടത്തുന്നതിന് അനുബന്ധ ഓപ്ഷനുകൾ തിരഞ്ഞെടുക്കുക.
ചിത്രം 1. ആൾട്ടേര FPGA സെൽഫ് സർവീസ് ലൈസൻസിംഗ് സെന്റർ

ലൈസൻസ് കീകൾ ഉപയോഗിച്ച്, നിങ്ങൾക്ക് ഇവ ചെയ്യാനാകും:
ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 7

1. Nios® V എംബെഡഡ് പ്രോസസ്സർ 726952 നെ കുറിച്ച് | 2025.07.16
· നിങ്ങളുടെ സിസ്റ്റത്തിനുള്ളിൽ ഒരു നിയോസ് V പ്രോസസർ നടപ്പിലാക്കുക. · ഒരു നിയോസ് V പ്രോസസർ സിസ്റ്റത്തിന്റെ സ്വഭാവം അനുകരിക്കുക. · വലുപ്പം, വേഗത തുടങ്ങിയ ഡിസൈനിന്റെ പ്രവർത്തനക്ഷമത പരിശോധിക്കുക. · ഉപകരണ പ്രോഗ്രാമിംഗ് സൃഷ്ടിക്കുക. file· ഒരു ഉപകരണം പ്രോഗ്രാം ചെയ്ത് ഹാർഡ്‌വെയറിലെ ഡിസൈൻ പരിശോധിക്കുക.
ആൾട്ടേര എഫ്‌പി‌ജി‌എകൾക്കായുള്ള ആഷ്ലിംഗ്* റിസ്‌ക്ഫ്രീ* ഐഡിഇയിൽ സോഫ്റ്റ്‌വെയർ വികസിപ്പിക്കുന്നതിന് നിങ്ങൾക്ക് ലൈസൻസ് ആവശ്യമില്ല.
ബന്ധപ്പെട്ട വിവരങ്ങൾ · ആൾട്ടേര FPGA സെൽഫ് സർവീസ് ലൈസൻസിംഗ് സെന്റർ
Nios V പ്രോസസ്സർ Altera FPGA IP ലൈസൻസ് കീകൾ നേടുന്നതിനെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക്. · Altera FPGA സോഫ്റ്റ്‌വെയർ ഇൻസ്റ്റാളേഷനും ലൈസൻസിംഗും Altera FPGA സോഫ്റ്റ്‌വെയറിന് ലൈസൻസ് നൽകുന്നതിനെക്കുറിച്ചും ഒരു സ്ഥിര ലൈസൻസും നെറ്റ്‌വർക്ക് ലൈസൻസ് സെർവറും സജ്ജീകരിക്കുന്നതിനെക്കുറിച്ചും കൂടുതൽ വിവരങ്ങൾക്ക്.
1.4. എംബഡഡ് സിസ്റ്റം ഡിസൈൻ
ഹാർഡ്‌വെയറും സോഫ്റ്റ്‌വെയർ വികസനവും ഉൾപ്പെടെ, ലളിതമായ നിയോസ് V പ്രോസസർ അധിഷ്ഠിത സിസ്റ്റം ഡിസൈൻ ഫ്ലോ ഇനിപ്പറയുന്ന ചിത്രം ചിത്രീകരിക്കുന്നു.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 8

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

1. Nios® V എംബെഡഡ് പ്രോസസ്സർ 726952 നെ കുറിച്ച് | 2025.07.16

ചിത്രം 2.

നിയോസ് വി പ്രോസസർ സിസ്റ്റം ഡിസൈൻ ഫ്ലോ
സിസ്റ്റം ആശയം

സിസ്റ്റം ആവശ്യകതകൾ വിശകലനം ചെയ്യുക

നിയോസ്® വി
പ്രോസസ്സർ കോറുകളും സ്റ്റാൻഡേർഡ് ഘടകങ്ങളും

സിസ്റ്റം നിർവചിക്കുകയും സൃഷ്ടിക്കുകയും ചെയ്യുക
പ്ലാറ്റ്ഫോം ഡിസൈനർ

ഹാർഡ്‌വെയർ ഫ്ലോ: ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് സംയോജിപ്പിച്ച് കംപൈൽ ചെയ്യുക

സോഫ്റ്റ്‌വെയർ ഫ്ലോ: നിയോസ് വി പ്രൊപ്പോസൽ സോഫ്റ്റ്‌വെയർ വികസിപ്പിക്കുകയും നിർമ്മിക്കുകയും ചെയ്യുക

ഹാർഡ്‌വെയർ ഫ്ലോ: FPGA ഡിസൈൻ ഡൗൺലോഡ് ചെയ്യുക
ടാർഗെറ്റ് ബോർഡിലേക്ക്

സോഫ്റ്റ്‌വെയർ ഫ്ലോ: നിയോസ് വി പ്രോസസർ സോഫ്റ്റ്‌വെയർ പരിശോധിച്ച് ഡീബഗ് ചെയ്യുക

സോഫ്റ്റ്‌വെയർ സ്പെക് പാലിക്കുന്നില്ലേ?
അതെ
ഹാർഡ്‌വെയർ സ്പെസിഫിക്കേഷനുമായി പൊരുത്തപ്പെടുന്നില്ലേ? അതെ
സിസ്റ്റം പൂർത്തിയായി

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 9

726952 | 2025.07.16 ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.

ചിത്രം 3.

താഴെ കൊടുത്തിരിക്കുന്ന ഡയഗ്രം ഒരു സാധാരണ നിയോസ് V പ്രോസസർ ഹാർഡ്‌വെയർ ഡിസൈൻ ചിത്രീകരിക്കുന്നു. നിയോസ് V പ്രോസസർ സിസ്റ്റം ഹാർഡ്‌വെയർ ഡിസൈൻ ഫ്ലോ

ആരംഭിക്കുക

നിയോസ് വി കോറുകളും സ്റ്റാൻഡേർഡ് ഘടകങ്ങളും

നിയോസ് വി അധിഷ്ഠിത സിസ്റ്റം രൂപകൽപ്പന ചെയ്യാൻ പ്ലാറ്റ്‌ഫോം ഡിസൈനർ ഉപയോഗിക്കുക.
പ്ലാറ്റ്‌ഫോം ഡിസൈനർ ഡിസൈൻ സൃഷ്ടിക്കുക

ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റുമായി പ്ലാറ്റ്‌ഫോം ഡിസൈനർ സിസ്റ്റം സംയോജിപ്പിക്കുക.
പിൻ ലൊക്കേഷനുകൾ, സമയ ആവശ്യകതകൾ, മറ്റ് ഡിസൈൻ നിയന്ത്രണങ്ങൾ എന്നിവ നൽകുക.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈമിൽ ടാർഗെറ്റ് ഉപകരണത്തിനായുള്ള ഹാർഡ്‌വെയർ കംപൈൽ ചെയ്യുക

ഡൗൺലോഡ് ചെയ്യാൻ തയ്യാറാണ്
2.1. പ്ലാറ്റ്‌ഫോം ഡിസൈനർ ഉപയോഗിച്ച് നിയോസ് V പ്രോസസർ സിസ്റ്റം ഡിസൈൻ സൃഷ്ടിക്കൽ
ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറിൽ പ്ലാറ്റ്‌ഫോം ഡിസൈനർ സിസ്റ്റം ഇന്റഗ്രേഷൻ ടൂൾ ഉൾപ്പെടുന്നു, ഇത് നിയോസ് V പ്രോസസർ ഐപി കോറും മറ്റ് ഐപികളും ഒരു ആൾട്ടേര എഫ്‌പി‌ജി‌എ സിസ്റ്റം ഡിസൈനിലേക്ക് നിർവചിക്കുകയും സംയോജിപ്പിക്കുകയും ചെയ്യുന്ന ചുമതല ലളിതമാക്കുന്നു. പ്ലാറ്റ്‌ഫോം ഡിസൈനർ നിർദ്ദിഷ്ട ഹൈ-ലെവൽ കണക്റ്റിവിറ്റിയിൽ നിന്ന് ഇന്റർകണക്ട് ലോജിക് യാന്ത്രികമായി സൃഷ്ടിക്കുന്നു. ഇന്റർകണക്ട് ഓട്ടോമേഷൻ സിസ്റ്റം-ലെവൽ എച്ച്‌ഡി‌എൽ കണക്ഷനുകൾ വ്യക്തമാക്കുന്നതിനുള്ള സമയമെടുക്കുന്ന ജോലി ഇല്ലാതാക്കുന്നു.
© ആൾട്ടേര കോർപ്പറേഷൻ. ആൾട്ടേര, ആൾട്ടേര ലോഗോ, `a' ലോഗോ, മറ്റ് ആൾട്ടേര മാർക്കുകൾ എന്നിവ ആൾട്ടേര കോർപ്പറേഷന്റെ വ്യാപാരമുദ്രകളാണ്. ഏതൊരു ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും ഏത് സമയത്തും അറിയിപ്പ് കൂടാതെ മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം ആൾട്ടേരയിൽ നിക്ഷിപ്തമാണ്. ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങൾ, ഉൽപ്പന്നം അല്ലെങ്കിൽ സേവനം എന്നിവയുടെ പ്രയോഗത്തിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന യാതൊരു ഉത്തരവാദിത്തമോ ബാധ്യതയോ ആൾട്ടേര ഏറ്റെടുക്കുന്നില്ല, ആൾട്ടേര രേഖാമൂലം വ്യക്തമായി സമ്മതിച്ചതൊഴിച്ചാൽ. പ്രസിദ്ധീകരിച്ച ഏതെങ്കിലും വിവരങ്ങളെ ആശ്രയിക്കുന്നതിനും ഉൽപ്പന്നങ്ങൾക്കോ ​​സേവനങ്ങൾക്കോ ​​വേണ്ടി ഓർഡർ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സ്പെസിഫിക്കേഷനുകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ആൾട്ടേര ഉപഭോക്താക്കളോട് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16

സിസ്റ്റം ഹാർഡ്‌വെയർ ആവശ്യകതകൾ വിശകലനം ചെയ്ത ശേഷം, നിങ്ങളുടെ സിസ്റ്റത്തിന് ആവശ്യമായ നിയോസ് V പ്രോസസർ കോർ, മെമ്മറി, മറ്റ് ഘടകങ്ങൾ എന്നിവ വ്യക്തമാക്കാൻ നിങ്ങൾ ക്വാർട്ടസ് പ്രൈം ഉപയോഗിക്കുന്നു. ഹാർഡ്‌വെയർ സിസ്റ്റത്തിലെ ഘടകങ്ങൾ സംയോജിപ്പിക്കുന്നതിന് പ്ലാറ്റ്‌ഫോം ഡിസൈനർ യാന്ത്രികമായി ഇന്റർകണക്റ്റ് ലോജിക് സൃഷ്ടിക്കുന്നു.

2.1.1. നിയോസ് V പ്രോസസർ ആൾട്ടേര FPGA IP ഇൻസ്റ്റൻഷ്യേറ്റിംഗ്

പ്ലാറ്റ്‌ഫോം ഡിസൈനർ ഐപി കാറ്റലോഗ് പ്രോസസ്സറുകളിലും പെരിഫറൽസ് എംബഡഡ് പ്രോസസ്സറുകളിലും നിങ്ങൾക്ക് ഏത് പ്രോസസർ ഐപി കോറുകളും ഇൻസ്റ്റന്റിയേറ്റ് ചെയ്യാൻ കഴിയും.

ഓരോ പ്രോസസ്സറിന്റെയും ഐപി കോർ അതിന്റെ തനതായ ആർക്കിടെക്ചറിനെ അടിസ്ഥാനമാക്കി വ്യത്യസ്ത കോൺഫിഗറേഷൻ ഓപ്ഷനുകളെ പിന്തുണയ്ക്കുന്നു. നിങ്ങളുടെ ഡിസൈൻ ആവശ്യങ്ങൾക്ക് കൂടുതൽ അനുയോജ്യമായ രീതിയിൽ നിങ്ങൾക്ക് ഈ കോൺഫിഗറേഷനുകൾ നിർവചിക്കാം.

പട്ടിക 1.

കോർ വേരിയന്റുകളിലുടനീളമുള്ള കോൺഫിഗറേഷൻ ഓപ്ഷനുകൾ

കോൺഫിഗറേഷൻ ഓപ്ഷനുകൾ

നിയോസ് വി/സി പ്രോസസർ

നിയോസ് വി/എം പ്രോസസർ

ഡീബഗ് ഉപയോഗ പുനഃസജ്ജീകരണ അഭ്യർത്ഥന

കെണികൾ, ഒഴിവാക്കലുകൾ, തടസ്സങ്ങൾ

സിപിയു ആർക്കിടെക്ചർ

ഇ.സി.സി

കാഷെകൾ, പെരിഫറൽ മേഖലകൾ, TCM-കൾ

ഇഷ്ടാനുസൃത നിർദ്ദേശങ്ങൾ

ലോക്ക്‌സ്‌ടെപ്പ്

നിയോസ് വി/ജി പ്രോസസർ

2.1.1.1. നിയോസ് V/c കോംപാക്റ്റ് മൈക്രോകൺട്രോളർ ആൾട്ടേര FPGA IP ഇൻസ്റ്റന്റിയേറ്റിംഗ് ചിത്രം 4. നിയോസ് V/c കോംപാക്റ്റ് മൈക്രോകൺട്രോളർ ആൾട്ടേര FPGA IP

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 11

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16

2.1.1.1.1. സിപിയു ആർക്കിടെക്ചർ ടാബ്

പട്ടിക 2.

സിപിയു ആർക്കിടെക്ചർ ടാബ്

ഫീച്ചർ

വിവരണം

Avalon® ഇന്റർഫേസ് പ്രാപ്തമാക്കുക ഇൻസ്ട്രക്ഷൻ മാനേജർക്കും ഡാറ്റ മാനേജർക്കും വേണ്ടി Avalon ഇന്റർഫേസ് പ്രാപ്തമാക്കുന്നു. പ്രവർത്തനരഹിതമാക്കിയിട്ടുണ്ടെങ്കിൽ, സിസ്റ്റം AXI4-Lite ഇന്റർഫേസ് ഉപയോഗിക്കുന്നു.

mhartid CSR മൂല്യം

· അസാധുവായ IP ഓപ്ഷൻ. · Nios V/c പ്രൊസസറിൽ mhartid CSR മൂല്യം ഉപയോഗിക്കരുത്.

2.1.1.1.2. റീസെറ്റ് റിക്വസ്റ്റ് ടാബ് ഉപയോഗിക്കുക

പട്ടിക 3.

റീസെറ്റ് അഭ്യർത്ഥന ടാബ് പാരാമീറ്റർ ഉപയോഗിക്കുക

റീസെറ്റ് അഭ്യർത്ഥന ടാബ് ഉപയോഗിക്കുക

വിവരണം

റീസെറ്റ് റിക്വസ്റ്റ് ഇന്റർഫേസ് ചേർക്കുക

· ഒരു Nios V പ്രൊസസർ സിസ്റ്റത്തിലെ മറ്റ് ഘടകങ്ങളെ ബാധിക്കാതെ Nios V പ്രൊസസർ റീസെറ്റ് ചെയ്യുന്നതിന് ട്രിഗർ ചെയ്യാൻ ഒരു ലോക്കൽ മാസ്റ്ററിന് ഉപയോഗിക്കാവുന്ന ലോക്കൽ റീസെറ്റ് പോർട്ടുകൾ തുറന്നുകാട്ടാൻ ഈ ഓപ്ഷൻ പ്രാപ്തമാക്കുക.
· റീസെറ്റ് ഇന്റർഫേസിൽ ഒരു ഇൻപുട്ട് റീസെറ്റ് റെക് സിഗ്നലും ഒരു ഔട്ട്പുട്ട് ആക്ക് സിഗ്നലും അടങ്ങിയിരിക്കുന്നു.
· resetreq സിഗ്നൽ ഉറപ്പിച്ചുകൊണ്ട് നിങ്ങൾക്ക് Nios V പ്രോസസർ കോറിലേക്ക് ഒരു പുനഃസജ്ജീകരണത്തിനായി അഭ്യർത്ഥിക്കാം.
· പ്രോസസ്സർ 'അക്' സിഗ്നൽ സ്ഥിരീകരിക്കുന്നതുവരെ റീസെറ്റ്രെക് സിഗ്നൽ സ്ഥിരമായി നിലനിർത്തണം. സിഗ്നൽ സ്ഥിരീകരിക്കാത്തത് പ്രോസസ്സറിനെ നിർണ്ണായകമല്ലാത്ത അവസ്ഥയിലാക്കാം.
· നിയോസ് V പ്രൊസസർ, ack സിഗ്നൽ ഉറപ്പിച്ചുകൊണ്ട് പുനഃസജ്ജീകരണം വിജയകരമായിരുന്നുവെന്ന് പ്രതികരിക്കുന്നു.
· പ്രോസസ്സർ വിജയകരമായി പുനഃസജ്ജീകരിച്ചതിനുശേഷം, റീസെറ്റ് സിഗ്നൽ ഡീ-അസേർഷൻ ആകുന്നതുവരെ ആക് സിഗ്നലിന്റെ അസേർഷൻ ഇടയ്ക്കിടെ ഒന്നിലധികം തവണ സംഭവിക്കാം.

2.1.1.1.3. ട്രാപ്പുകൾ, ഒഴിവാക്കലുകൾ, തടസ്സങ്ങൾ ടാബ്

പട്ടിക 4.

ട്രാപ്പുകൾ, ഒഴിവാക്കലുകൾ, തടസ്സങ്ങൾ ടാബ് പാരാമീറ്ററുകൾ

കെണികൾ, ഒഴിവാക്കലുകൾ, തടസ്സങ്ങൾ

വിവരണം

ഏജന്റിനെ പുനഃസജ്ജമാക്കുക

· റീസെറ്റ് കോഡ് സ്ഥിതി ചെയ്യുന്ന റീസെറ്റ് വെക്റ്ററിനെ (നിയോസ് V പ്രോസസർ റീസെറ്റ് വിലാസം) ഹോസ്റ്റ് ചെയ്യുന്ന മെമ്മറി.
· നിയോസ് V പ്രൊസസർ ഇൻസ്ട്രക്ഷൻ മാസ്റ്ററുമായി ബന്ധിപ്പിച്ചിരിക്കുന്നതും നിയോസ് V പ്രൊസസർ ബൂട്ട് ഫ്ലോ പിന്തുണയ്ക്കുന്നതുമായ ഏത് മെമ്മറി മൊഡ്യൂളും നിങ്ങൾക്ക് റീസെറ്റ് ഏജന്റായി തിരഞ്ഞെടുക്കാം.

ഓഫ്സെറ്റ് പുനഃസജ്ജമാക്കുക

· തിരഞ്ഞെടുത്ത റീസെറ്റ് ഏജന്റിന്റെ അടിസ്ഥാന വിലാസവുമായി ബന്ധപ്പെട്ട് റീസെറ്റ് വെക്റ്ററിന്റെ ഓഫ്‌സെറ്റ് വ്യക്തമാക്കുന്നു. · പ്ലാറ്റ്‌ഫോം ഡിസൈനർ റീസെറ്റ് ഓഫ്‌സെറ്റിനായി സ്വയമേവ ഒരു സ്ഥിര മൂല്യം നൽകുന്നു.

കുറിപ്പ്:

പ്ലാറ്റ്‌ഫോം ഡിസൈനർ ഒരു അബ്സൊല്യൂട്ട് ഓപ്ഷൻ നൽകുന്നു, ഇത് റീസെറ്റ് ഓഫ്‌സെറ്റിൽ ഒരു അബ്സൊല്യൂട്ട് വിലാസം വ്യക്തമാക്കാൻ നിങ്ങളെ അനുവദിക്കുന്നു. റീസെറ്റ് വെക്റ്റർ സംഭരിക്കുന്ന മെമ്മറി പ്രോസസർ സിസ്റ്റത്തിനും സബ്സിസ്റ്റങ്ങൾക്കും പുറത്തായിരിക്കുമ്പോൾ ഈ ഓപ്ഷൻ ഉപയോഗിക്കുക.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 12

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16

2.1.1.1.4. ഇസിസി ടാബ്

പട്ടിക 5.

ഇസിസി ടാബ്

ഇ.സി.സി

പിശക് കണ്ടെത്തലും സ്റ്റാറ്റസ് റിപ്പോർട്ടിംഗും പ്രാപ്തമാക്കുക

വിവരണം
· Nios V പ്രൊസസർ ഇന്റേണൽ റാം ബ്ലോക്കുകളിൽ ECC സവിശേഷത പ്രയോഗിക്കുന്നതിന് ഈ ഓപ്ഷൻ പ്രാപ്തമാക്കുക. · ECC സവിശേഷതകൾ 2-ബിറ്റ് പിശകുകൾ വരെ കണ്ടെത്തുകയും ഇനിപ്പറയുന്ന സ്വഭാവത്തെ അടിസ്ഥാനമാക്കി പ്രതികരിക്കുകയും ചെയ്യുന്നു:
— തിരുത്താവുന്ന ഒരു പിശക് 1-ബിറ്റ് ആണെങ്കിൽ, പ്രോസസ്സർ പൈപ്പ്‌ലൈനിലെ പിശക് തിരുത്തിയതിനു ശേഷവും പ്രോസസ്സർ പ്രവർത്തിക്കുന്നത് തുടരുന്നു. എന്നിരുന്നാലും, തിരുത്തൽ ഉറവിട മെമ്മറികളിൽ പ്രതിഫലിക്കുന്നില്ല.
— പിശക് തിരുത്താൻ കഴിയുന്നില്ലെങ്കിൽ, പ്രോസസ്സർ പൈപ്പ്‌ലൈനിലും സോഴ്‌സ് മെമ്മറികളിലും അത് ശരിയാക്കാതെ പ്രോസസ്സർ പ്രവർത്തിക്കുന്നത് തുടരും, ഇത് പ്രോസസ്സർ ഒരു അനിശ്ചിതാവസ്ഥയിലേക്ക് പ്രവേശിക്കാൻ കാരണമായേക്കാം.

2.1.1.2. നിയോസ് V/m മൈക്രോകൺട്രോളർ ആൾട്ടേര FPGA IP ഇൻസ്റ്റന്റിയേറ്റിംഗ് ചിത്രം 5. നിയോസ് V/m മൈക്രോകൺട്രോളർ ആൾട്ടേര FPGA IP

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 13

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16

2.1.1.2.1. ഡീബഗ് ടാബ്

പട്ടിക 6.

ടാബ് പാരാമീറ്ററുകൾ ഡീബഗ് ചെയ്യുക

ഡീബഗ് ടാബ്

വിവരണം

ഡീബഗ് പ്രാപ്തമാക്കുക
ഡീബഗ് മൊഡ്യൂളിൽ നിന്ന് പുനഃസജ്ജമാക്കൽ പ്രാപ്തമാക്കുക

· J ചേർക്കാൻ ഈ ഓപ്ഷൻ പ്രാപ്തമാക്കുകTAG നിയോസ് V പ്രൊസസ്സറിലേക്കുള്ള കണക്ഷൻ മൊഡ്യൂളിനെ ലക്ഷ്യം വയ്ക്കുക. · ജെTAG ടാർഗെറ്റ് കണക്ഷൻ മൊഡ്യൂൾ നിയോസ് വി പ്രോസസറിലേക്ക് കണക്റ്റുചെയ്യാൻ അനുവദിക്കുന്നു
JTAG FPGA യുടെ ഇന്റർഫേസ് പിന്നുകൾ. · കണക്ഷൻ ഇനിപ്പറയുന്ന അടിസ്ഥാന കഴിവുകൾ നൽകുന്നു:
— നിയോസ് V പ്രൊസസർ ആരംഭിക്കുകയും നിർത്തുകയും ചെയ്യുക — രജിസ്റ്ററുകളും മെമ്മറിയും പരിശോധിച്ച് എഡിറ്റ് ചെയ്യുക. — നിയോസ് V ആപ്ലിക്കേഷൻ .elf ഡൗൺലോഡ് ചെയ്യുക file റൺടൈമിൽ പ്രൊസസർ മെമ്മറിയിലേക്ക്
niosv-download. — Nios V പ്രൊസസ്സറിൽ പ്രവർത്തിക്കുന്ന ആപ്ലിക്കേഷൻ ഡീബഗ് ചെയ്യുക · dm_agent പോർട്ട് പ്രൊസസ്സർ നിർദ്ദേശത്തിലേക്കും ഡാറ്റ ബസിലേക്കും ബന്ധിപ്പിക്കുക. രണ്ട് ബസുകൾക്കിടയിലുള്ള അടിസ്ഥാന വിലാസം ഒന്നുതന്നെയാണെന്ന് ഉറപ്പാക്കുക.
· dbg_reset_out, ndm_reset_in പോർട്ടുകൾ എക്സ്പോസ് ചെയ്യുന്നതിന് ഈ ഓപ്ഷൻ പ്രാപ്തമാക്കുക. · JTAG ഡീബഗ്ഗർ അല്ലെങ്കിൽ niosv-download -r കമാൻഡ് dbg_reset_out ട്രിഗർ ചെയ്യുന്നു, അത്
ഈ പോർട്ടിലേക്ക് കണക്റ്റുചെയ്യുന്ന സിസ്റ്റം പെരിഫെറലുകൾ പുനഃസജ്ജമാക്കാൻ Nios V പ്രൊസസ്സറിനെ അനുവദിക്കുന്നു. · പുനഃസജ്ജമാക്കുന്നതിന് പകരം dbg_reset_out ഇന്റർഫേസ് ndm_reset_in-ലേക്ക് ബന്ധിപ്പിക്കണം.
പ്രോസസ്സർ കോറിലേക്കും ടൈമർ മൊഡ്യൂളിലേക്കും റീസെറ്റ് ട്രിഗർ ചെയ്യുന്നതിനുള്ള ഇന്റർഫേസ്. അനിശ്ചിതമായ പെരുമാറ്റം തടയുന്നതിന് ഇന്റർഫേസ് റീസെറ്റ് ചെയ്യുന്നതിന് നിങ്ങൾ dbg_reset_out ഇന്റർഫേസ് കണക്റ്റ് ചെയ്യരുത്.

2.1.1.2.2. റീസെറ്റ് റിക്വസ്റ്റ് ടാബ് ഉപയോഗിക്കുക

പട്ടിക 7.

റീസെറ്റ് അഭ്യർത്ഥന ടാബ് പാരാമീറ്റർ ഉപയോഗിക്കുക

റീസെറ്റ് അഭ്യർത്ഥന ടാബ് ഉപയോഗിക്കുക

വിവരണം

റീസെറ്റ് റിക്വസ്റ്റ് ഇന്റർഫേസ് ചേർക്കുക

· ഒരു Nios V പ്രൊസസർ സിസ്റ്റത്തിലെ മറ്റ് ഘടകങ്ങളെ ബാധിക്കാതെ Nios V പ്രൊസസർ റീസെറ്റ് ചെയ്യുന്നതിന് ട്രിഗർ ചെയ്യാൻ ഒരു ലോക്കൽ മാസ്റ്ററിന് ഉപയോഗിക്കാവുന്ന ലോക്കൽ റീസെറ്റ് പോർട്ടുകൾ തുറന്നുകാട്ടാൻ ഈ ഓപ്ഷൻ പ്രാപ്തമാക്കുക.
· റീസെറ്റ് ഇന്റർഫേസിൽ ഒരു ഇൻപുട്ട് റീസെറ്റ് റെക് സിഗ്നലും ഒരു ഔട്ട്പുട്ട് ആക്ക് സിഗ്നലും അടങ്ങിയിരിക്കുന്നു.
· resetreq സിഗ്നൽ ഉറപ്പിച്ചുകൊണ്ട് നിങ്ങൾക്ക് Nios V പ്രോസസർ കോറിലേക്ക് ഒരു പുനഃസജ്ജീകരണത്തിനായി അഭ്യർത്ഥിക്കാം.
· പ്രോസസ്സർ 'അക്' സിഗ്നൽ സ്ഥിരീകരിക്കുന്നതുവരെ റീസെറ്റ്രെക് സിഗ്നൽ സ്ഥിരമായി നിലനിർത്തണം. സിഗ്നൽ സ്ഥിരീകരിക്കാത്തത് പ്രോസസ്സറിനെ നിർണ്ണായകമല്ലാത്ത അവസ്ഥയിലാക്കാം.
· ഡീബഗ് മോഡിൽ റീസെറ്റ്രെക് സിഗ്നലിന്റെ ഉറപ്പ് പ്രോസസ്സറിന്റെ അവസ്ഥയെ ബാധിക്കില്ല.
· നിയോസ് V പ്രൊസസർ, ack സിഗ്നൽ ഉറപ്പിച്ചുകൊണ്ട് പുനഃസജ്ജീകരണം വിജയകരമായിരുന്നുവെന്ന് പ്രതികരിക്കുന്നു.
· പ്രോസസ്സർ വിജയകരമായി പുനഃസജ്ജീകരിച്ചതിനുശേഷം, റീസെറ്റ് സിഗ്നൽ ഡീ-അസേർഷൻ ആകുന്നതുവരെ ആക് സിഗ്നലിന്റെ അസേർഷൻ ഇടയ്ക്കിടെ ഒന്നിലധികം തവണ സംഭവിക്കാം.

2.1.1.2.3. ട്രാപ്പുകൾ, ഒഴിവാക്കലുകൾ, തടസ്സങ്ങൾ ടാബ്

പട്ടിക 8.

ട്രാപ്പുകൾ, ഒഴിവാക്കലുകൾ, തടസ്സങ്ങൾ ടാബ്

ട്രാപ്പുകൾ, ഒഴിവാക്കലുകൾ, തടസ്സങ്ങൾ ടാബ്

വിവരണം

ഏജന്റിനെ പുനഃസജ്ജമാക്കുക

· റീസെറ്റ് കോഡ് സ്ഥിതി ചെയ്യുന്ന റീസെറ്റ് വെക്റ്ററിനെ (നിയോസ് V പ്രോസസർ റീസെറ്റ് വിലാസം) ഹോസ്റ്റ് ചെയ്യുന്ന മെമ്മറി.
· നിയോസ് V പ്രൊസസർ ഇൻസ്ട്രക്ഷൻ മാസ്റ്ററുമായി ബന്ധിപ്പിച്ചിരിക്കുന്നതും നിയോസ് V പ്രൊസസർ ബൂട്ട് ഫ്ലോ പിന്തുണയ്ക്കുന്നതുമായ ഏത് മെമ്മറി മൊഡ്യൂളും നിങ്ങൾക്ക് റീസെറ്റ് ഏജന്റായി തിരഞ്ഞെടുക്കാം.

ഓഫ്‌സെറ്റ് ഇന്ററപ്റ്റ് മോഡ് പുനഃസജ്ജമാക്കുക

· തിരഞ്ഞെടുത്ത റീസെറ്റ് ഏജന്റിന്റെ അടിസ്ഥാന വിലാസവുമായി ബന്ധപ്പെട്ട് റീസെറ്റ് വെക്റ്ററിന്റെ ഓഫ്‌സെറ്റ് വ്യക്തമാക്കുന്നു. · പ്ലാറ്റ്‌ഫോം ഡിസൈനർ റീസെറ്റ് ഓഫ്‌സെറ്റിനായി സ്വയമേവ ഒരു സ്ഥിര മൂല്യം നൽകുന്നു.
ഡയറക്ട് അല്ലെങ്കിൽ വെക്റ്റേർഡ് ഇന്ററപ്റ്റ് കൺട്രോളറിന്റെ തരം പ്രത്യേകം വ്യക്തമാക്കുക. കുറിപ്പ്: നിയോസ് V/m നോൺ-പൈപ്പ്ലൈൻഡ് പ്രോസസർ വെക്റ്റേർഡ് ഇന്ററപ്റ്റുകളെ പിന്തുണയ്ക്കുന്നില്ല.
അതിനാൽ, പ്രോസസ്സർ നോൺ-പൈപ്പ്ലൈൻഡ് മോഡിൽ ആയിരിക്കുമ്പോൾ വെക്റ്റേർഡ് ഇന്ററപ്റ്റ് മോഡ് ഉപയോഗിക്കുന്നത് ഒഴിവാക്കുക.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 14

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16

കുറിപ്പ്:

പ്ലാറ്റ്‌ഫോം ഡിസൈനർ ഒരു അബ്സൊല്യൂട്ട് ഓപ്ഷൻ നൽകുന്നു, ഇത് റീസെറ്റ് ഓഫ്‌സെറ്റിൽ ഒരു അബ്സൊല്യൂട്ട് വിലാസം വ്യക്തമാക്കാൻ നിങ്ങളെ അനുവദിക്കുന്നു. റീസെറ്റ് വെക്റ്റർ സംഭരിക്കുന്ന മെമ്മറി പ്രോസസർ സിസ്റ്റത്തിനും സബ്സിസ്റ്റങ്ങൾക്കും പുറത്തായിരിക്കുമ്പോൾ ഈ ഓപ്ഷൻ ഉപയോഗിക്കുക.

2.1.1.2.4. സിപിയു ആർക്കിടെക്ചർ

പട്ടിക 9.

സിപിയു ആർക്കിടെക്ചർ ടാബ് പാരാമീറ്ററുകൾ

സിപിയു ആർക്കിടെക്ചർ

വിവരണം

സിപിയുവിൽ പൈപ്പ്‌ലൈനിംഗ് പ്രവർത്തനക്ഷമമാക്കുക

· പൈപ്പ്‌ലൈൻ ചെയ്ത നിയോസ് V/m പ്രോസസർ ഇൻസ്റ്റന്റൈറ്റ് ചെയ്യുന്നതിന് ഈ ഓപ്ഷൻ പ്രാപ്തമാക്കുക. — ഉയർന്ന ലോജിക് ഏരിയയും കുറഞ്ഞ Fmax ഫ്രീക്വൻസിയും ഉള്ളതിനാൽ IPC കൂടുതലാണ്.
· പൈപ്പ്ലൈൻ ചെയ്യാത്ത നിയോസ് V/m പ്രോസസർ ഇൻസ്റ്റന്റൈറ്റ് ചെയ്യുന്നതിന് ഈ ഓപ്ഷൻ പ്രവർത്തനരഹിതമാക്കുക. — നിയോസ് V/c പ്രോസസറിന് സമാനമായ കോർ പെർഫോമൻസ് ഉണ്ട്. — ഡീബഗ്ഗിംഗ്, ഇന്ററപ്റ്റ് ശേഷി എന്നിവ പിന്തുണയ്ക്കുന്നു — കുറഞ്ഞ ഐപിസിയുടെ ചെലവിൽ ലോജിക് ഏരിയയും ഉയർന്ന എഫ്മാക്സ് ഫ്രീക്വൻസിയും കുറവാണ്.

അവലോൺ ഇന്റർഫേസ് പ്രാപ്തമാക്കുക

ഇൻസ്ട്രക്ഷൻ മാനേജർ, ഡാറ്റ മാനേജർ എന്നിവയ്ക്കായി അവലോൺ ഇന്റർഫേസ് പ്രാപ്തമാക്കുന്നു. പ്രവർത്തനരഹിതമാക്കിയാൽ, സിസ്റ്റം AXI4-Lite ഇന്റർഫേസ് ഉപയോഗിക്കുന്നു.

mhartid CSR മൂല്യം

· ഡിഫോൾട്ടായി ഹാർട്ട് ഐഡി രജിസ്റ്റർ (mhartid) മൂല്യം 0 ആണ്. · 0 നും 4094 നും ഇടയിലുള്ള ഒരു മൂല്യം നൽകുക. · Altera FPGA Avalon Mutex കോർ HAL API-യുമായി പൊരുത്തപ്പെടുന്നു.

ബന്ധപ്പെട്ട വിവരങ്ങൾ എംബഡഡ് പെരിഫറൽ ഐപി ഉപയോക്തൃ ഗൈഡ് - ഇന്റൽ എഫ്‌പി‌ജി‌എ അവലോൺ® മ്യൂട്ടക്സ് കോർ

2.1.1.2.5. ഇസിസി ടാബ്
പട്ടിക 10. ഇസിസി ടാബ്
ECC പിശക് കണ്ടെത്തലും സ്റ്റാറ്റസ് റിപ്പോർട്ടിംഗും പ്രാപ്തമാക്കുക

വിവരണം
· Nios V പ്രൊസസർ ഇന്റേണൽ റാം ബ്ലോക്കുകളിൽ ECC സവിശേഷത പ്രയോഗിക്കുന്നതിന് ഈ ഓപ്ഷൻ പ്രാപ്തമാക്കുക. · ECC സവിശേഷതകൾ 2-ബിറ്റ് പിശകുകൾ വരെ കണ്ടെത്തുകയും ഇനിപ്പറയുന്ന സ്വഭാവത്തെ അടിസ്ഥാനമാക്കി പ്രതികരിക്കുകയും ചെയ്യുന്നു:
— തിരുത്താവുന്ന ഒരു പിശക് 1-ബിറ്റ് ആണെങ്കിൽ, പ്രോസസ്സർ പൈപ്പ്‌ലൈനിലെ പിശക് തിരുത്തിയതിനു ശേഷവും പ്രോസസ്സർ പ്രവർത്തിക്കുന്നത് തുടരുന്നു. എന്നിരുന്നാലും, തിരുത്തൽ ഉറവിട മെമ്മറികളിൽ പ്രതിഫലിക്കുന്നില്ല.
— പിശക് തിരുത്താൻ കഴിയുന്നില്ലെങ്കിൽ, പ്രോസസ്സർ പൈപ്പ്‌ലൈനിലും സോഴ്‌സ് മെമ്മറികളിലും അത് ശരിയാക്കാതെ പ്രോസസ്സർ പ്രവർത്തിക്കുന്നത് തുടരും, ഇത് പ്രോസസ്സർ ഒരു അനിശ്ചിതാവസ്ഥയിലേക്ക് പ്രവേശിക്കാൻ കാരണമായേക്കാം.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 15

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16
2.1.1.3. നിയോസ് V/g ജനറൽ പർപ്പസ് പ്രോസസ്സർ ആൾട്ടേര FPGA IP ഇൻസ്റ്റന്റിയേറ്റിംഗ്
ചിത്രം 6. നിയോസ് V/g ജനറൽ പർപ്പസ് പ്രോസസർ ആൾട്ടേര FPGA IP – ഭാഗം 1

ചിത്രം 7.

Nios V/g ജനറൽ പർപ്പസ് പ്രോസസർ Altera FPGA IP – ഭാഗം 2 (കോർ ലെവൽ ഇന്ററപ്റ്റ് കൺട്രോളർ പ്രവർത്തനക്ഷമമാക്കുക ഓഫാക്കുക)

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 16

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16

ചിത്രം 8.

Nios V/g ജനറൽ പർപ്പസ് പ്രോസസർ Altera FPGA IP – ഭാഗം 2 (കോർ ലെവൽ ഇന്ററപ്റ്റ് കൺട്രോളർ പ്രവർത്തനക്ഷമമാക്കുക)

ചിത്രം 9. നിയോസ് V/g ജനറൽ പർപ്പസ് പ്രോസസർ ആൾട്ടേര FPGA IP – ഭാഗം 3

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 17

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16
ചിത്രം 10. നിയോസ് V/g ജനറൽ പർപ്പസ് പ്രോസസർ ആൾട്ടേര FPGA IP – ഭാഗം 4

2.1.1.3.1. സിപിയു ആർക്കിടെക്ചർ

പട്ടിക 11. സിപിയു ആർക്കിടെക്ചർ പാരാമീറ്ററുകൾ

സിപിയു ആർക്കിടെക്ചർ ടാബ് ഫ്ലോട്ടിംഗ് പോയിന്റ് യൂണിറ്റ് പ്രാപ്തമാക്കുക

വിവരണം പ്രോസസ്സർ കോറിൽ ഫ്ലോട്ടിംഗ്-പോയിന്റ് യൂണിറ്റ് ("F" എക്സ്റ്റൻഷൻ) ചേർക്കാൻ ഈ ഓപ്ഷൻ പ്രാപ്തമാക്കുക.

ബ്രാഞ്ച് പ്രവചനം പ്രാപ്തമാക്കുക

ബ്രാഞ്ച് നിർദ്ദേശങ്ങൾക്കായി സ്റ്റാറ്റിക് ബ്രാഞ്ച് പ്രവചനം (ബാക്ക്‌വേർഡ് ടേക്കൺ, ഫോർവേഡ് നോൺ ടേക്കൺ) പ്രാപ്തമാക്കുക.

mhartid CSR മൂല്യം

· ഡിഫോൾട്ടായി ഹാർട്ട് ഐഡി രജിസ്റ്റർ (mhartid) മൂല്യം 0 ആണ്. · 0 നും 4094 നും ഇടയിലുള്ള ഒരു മൂല്യം നൽകുക. · Altera FPGA Avalon Mutex കോർ HAL API-യുമായി പൊരുത്തപ്പെടുന്നു.

FPU-വേണ്ടി FSQRT & FDIV നിർദ്ദേശങ്ങൾ പ്രവർത്തനരഹിതമാക്കുക.

· FPU-വിലെ ഫ്ലോട്ടിംഗ്-പോയിന്റ് സ്‌ക്വയർ റൂട്ട് (FSQRT), ഫ്ലോട്ടിംഗ്-പോയിന്റ് ഡിവിഷൻ (FDIV) പ്രവർത്തനങ്ങൾ നീക്കം ചെയ്യുക.
· റൺടൈമിൽ രണ്ട് നിർദ്ദേശങ്ങളിലും സോഫ്റ്റ്‌വെയർ എമുലേഷൻ പ്രയോഗിക്കുക.

ബന്ധപ്പെട്ട വിവരങ്ങൾ എംബഡഡ് പെരിഫറൽ ഐപി ഉപയോക്തൃ ഗൈഡ് - ഇന്റൽ എഫ്‌പി‌ജി‌എ അവലോൺ® മ്യൂട്ടക്സ് കോർ

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 18

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16

2.1.1.3.2. ഡീബഗ് ടാബ്

പട്ടിക 12. ഡീബഗ് ടാബ് പാരാമീറ്ററുകൾ

ഡീബഗ് ടാബ്

വിവരണം

ഡീബഗ് പ്രാപ്തമാക്കുക
ഡീബഗ് മൊഡ്യൂളിൽ നിന്ന് പുനഃസജ്ജമാക്കൽ പ്രാപ്തമാക്കുക

· J ചേർക്കാൻ ഈ ഓപ്ഷൻ പ്രാപ്തമാക്കുകTAG നിയോസ് V പ്രൊസസ്സറിലേക്കുള്ള കണക്ഷൻ മൊഡ്യൂളിനെ ലക്ഷ്യം വയ്ക്കുക. · ജെTAG ടാർഗെറ്റ് കണക്ഷൻ മൊഡ്യൂൾ നിയോസ് വി പ്രോസസറിലേക്ക് കണക്റ്റുചെയ്യാൻ അനുവദിക്കുന്നു
JTAG FPGA യുടെ ഇന്റർഫേസ് പിന്നുകൾ. · കണക്ഷൻ ഇനിപ്പറയുന്ന അടിസ്ഥാന കഴിവുകൾ നൽകുന്നു:
— നിയോസ് V പ്രൊസസർ ആരംഭിക്കുകയും നിർത്തുകയും ചെയ്യുക — രജിസ്റ്ററുകളും മെമ്മറിയും പരിശോധിച്ച് എഡിറ്റ് ചെയ്യുക. — നിയോസ് V ആപ്ലിക്കേഷൻ .elf ഡൗൺലോഡ് ചെയ്യുക file റൺടൈമിൽ പ്രൊസസർ മെമ്മറിയിലേക്ക്
niosv-download. — Nios V പ്രൊസസ്സറിൽ പ്രവർത്തിക്കുന്ന ആപ്ലിക്കേഷൻ ഡീബഗ് ചെയ്യുക · dm_agent പോർട്ട് പ്രൊസസ്സർ നിർദ്ദേശത്തിലേക്കും ഡാറ്റ ബസിലേക്കും ബന്ധിപ്പിക്കുക. രണ്ട് ബസുകൾക്കിടയിലുള്ള അടിസ്ഥാന വിലാസം ഒന്നുതന്നെയാണെന്ന് ഉറപ്പാക്കുക.
· dbg_reset_out, ndm_reset_in പോർട്ടുകൾ എക്സ്പോസ് ചെയ്യുന്നതിന് ഈ ഓപ്ഷൻ പ്രാപ്തമാക്കുക. · JTAG ഡീബഗ്ഗർ അല്ലെങ്കിൽ niosv-download -r കമാൻഡ് dbg_reset_out ട്രിഗർ ചെയ്യുന്നു, അത്
ഈ പോർട്ടിലേക്ക് കണക്റ്റുചെയ്യുന്ന സിസ്റ്റം പെരിഫെറലുകൾ പുനഃസജ്ജമാക്കാൻ Nios V പ്രൊസസ്സറിനെ അനുവദിക്കുന്നു. · പുനഃസജ്ജമാക്കുന്നതിന് പകരം dbg_reset_out ഇന്റർഫേസ് ndm_reset_in-ലേക്ക് ബന്ധിപ്പിക്കണം.
പ്രോസസ്സർ കോറിലേക്കും ടൈമർ മൊഡ്യൂളിലേക്കും റീസെറ്റ് ട്രിഗർ ചെയ്യുന്നതിനുള്ള ഇന്റർഫേസ്. അനിശ്ചിതമായ പെരുമാറ്റം തടയുന്നതിന് ഇന്റർഫേസ് റീസെറ്റ് ചെയ്യുന്നതിന് നിങ്ങൾ dbg_reset_out ഇന്റർഫേസ് കണക്റ്റ് ചെയ്യരുത്.

2.1.1.3.3. ലോക്ക്സ്റ്റെപ്പ് ടാബ് പട്ടിക 13. ലോക്ക്സ്റ്റെപ്പ് ടാബ്
പാരാമീറ്ററുകൾ ലോക്ക്സ്റ്റെപ്പ് ഡിഫോൾട്ട് ടൈംഔട്ട് കാലയളവ് പ്രാപ്തമാക്കുക വിപുലീകൃത റീസെറ്റ് ഇന്റർഫേസ് പ്രാപ്തമാക്കുക

വിവരണം · ഡ്യുവൽ കോർ ലോക്ക്സ്റ്റെപ്പ് സിസ്റ്റം പ്രാപ്തമാക്കുക. · റീസെറ്റ് എക്സിറ്റിൽ പ്രോഗ്രാമബിൾ ടൈംഔട്ടിന്റെ ഡിഫോൾട്ട് മൂല്യം (0 നും 255 നും ഇടയിൽ). · എക്സ്റ്റെൻഡഡ് റീസെറ്റ് കൺട്രോളിനായി ഓപ്ഷണൽ എക്സ്റ്റെൻഡഡ് റീസെറ്റ് ഇന്റർഫേസ് പ്രാപ്തമാക്കുക. · പ്രവർത്തനരഹിതമാക്കുമ്പോൾ, fRSmartComp അടിസ്ഥാന റീസെറ്റ് കൺട്രോൾ നടപ്പിലാക്കുന്നു.

2.1.1.3.4. റീസെറ്റ് റിക്വസ്റ്റ് ടാബ് ഉപയോഗിക്കുക

പട്ടിക 14. റീസെറ്റ് റിക്വസ്റ്റ് ടാബ് പാരാമീറ്റർ ഉപയോഗിക്കുക

റീസെറ്റ് അഭ്യർത്ഥന ടാബ് ഉപയോഗിക്കുക

വിവരണം

റീസെറ്റ് റിക്വസ്റ്റ് ഇന്റർഫേസ് ചേർക്കുക

· ഒരു Nios V പ്രൊസസർ സിസ്റ്റത്തിലെ മറ്റ് ഘടകങ്ങളെ ബാധിക്കാതെ Nios V പ്രൊസസർ റീസെറ്റ് ചെയ്യുന്നതിന് ട്രിഗർ ചെയ്യാൻ ഒരു ലോക്കൽ മാസ്റ്ററിന് ഉപയോഗിക്കാവുന്ന ലോക്കൽ റീസെറ്റ് പോർട്ടുകൾ തുറന്നുകാട്ടാൻ ഈ ഓപ്ഷൻ പ്രാപ്തമാക്കുക.
· റീസെറ്റ് ഇന്റർഫേസിൽ ഒരു ഇൻപുട്ട് റീസെറ്റ് റെക് സിഗ്നലും ഒരു ഔട്ട്പുട്ട് ആക്ക് സിഗ്നലും അടങ്ങിയിരിക്കുന്നു.
· resetreq സിഗ്നൽ ഉറപ്പിച്ചുകൊണ്ട് നിങ്ങൾക്ക് Nios V പ്രോസസർ കോറിലേക്ക് ഒരു പുനഃസജ്ജീകരണത്തിനായി അഭ്യർത്ഥിക്കാം.
· പ്രോസസ്സർ 'അക്' സിഗ്നൽ സ്ഥിരീകരിക്കുന്നതുവരെ റീസെറ്റ്രെക് സിഗ്നൽ സ്ഥിരമായി നിലനിർത്തണം. സിഗ്നൽ സ്ഥിരീകരിക്കാത്തത് പ്രോസസ്സറിനെ നിർണ്ണായകമല്ലാത്ത അവസ്ഥയിലാക്കാം.
· ഡീബഗ് മോഡിൽ റീസെറ്റ്രെക് സിഗ്നലിന്റെ ഉറപ്പ് പ്രോസസ്സറിന്റെ അവസ്ഥയെ ബാധിക്കില്ല.
· നിയോസ് V പ്രൊസസർ, ack സിഗ്നൽ ഉറപ്പിച്ചുകൊണ്ട് പുനഃസജ്ജീകരണം വിജയകരമായിരുന്നുവെന്ന് പ്രതികരിക്കുന്നു.
· പ്രോസസ്സർ വിജയകരമായി പുനഃസജ്ജീകരിച്ചതിനുശേഷം, റീസെറ്റ് സിഗ്നൽ ഡീ-അസേർഷൻ ആകുന്നതുവരെ ആക് സിഗ്നലിന്റെ അസേർഷൻ ഇടയ്ക്കിടെ ഒന്നിലധികം തവണ സംഭവിക്കാം.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 19

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16

2.1.1.3.5. ട്രാപ്പുകൾ, ഒഴിവാക്കലുകൾ, തടസ്സങ്ങൾ ടാബ്

പട്ടിക 15.

കോർ ലെവൽ ഇന്ററപ്റ്റ് കൺട്രോളർ പ്രവർത്തനക്ഷമമാക്കുമ്പോൾ ട്രാപ്പുകൾ, ഒഴിവാക്കലുകൾ, ഇന്ററപ്റ്റുകൾ ടാബ്

ട്രാപ്പുകൾ, ഒഴിവാക്കലുകൾ, തടസ്സങ്ങൾ ടാബ്
ഏജന്റിനെ പുനഃസജ്ജമാക്കുക

വിവരണം
· റീസെറ്റ് കോഡ് സ്ഥിതി ചെയ്യുന്ന റീസെറ്റ് വെക്റ്ററിനെ (നിയോസ് V പ്രോസസർ റീസെറ്റ് വിലാസം) ഹോസ്റ്റ് ചെയ്യുന്ന മെമ്മറി.
· നിയോസ് V പ്രൊസസർ ഇൻസ്ട്രക്ഷൻ മാസ്റ്ററുമായി ബന്ധിപ്പിച്ചിരിക്കുന്നതും നിയോസ് V പ്രൊസസർ ബൂട്ട് ഫ്ലോ പിന്തുണയ്ക്കുന്നതുമായ ഏത് മെമ്മറി മൊഡ്യൂളും നിങ്ങൾക്ക് റീസെറ്റ് ഏജന്റായി തിരഞ്ഞെടുക്കാം.

ഓഫ്സെറ്റ് പുനഃസജ്ജമാക്കുക

· തിരഞ്ഞെടുത്ത റീസെറ്റ് ഏജന്റിന്റെ അടിസ്ഥാന വിലാസവുമായി ബന്ധപ്പെട്ട് റീസെറ്റ് വെക്റ്ററിന്റെ ഓഫ്‌സെറ്റ് വ്യക്തമാക്കുന്നു. · പ്ലാറ്റ്‌ഫോം ഡിസൈനർ റീസെറ്റ് ഓഫ്‌സെറ്റിനായി സ്വയമേവ ഒരു സ്ഥിര മൂല്യം നൽകുന്നു.

കോർ ലെവൽ ഇന്ററപ്റ്റ് കൺട്രോളർ (CLIC) പ്രവർത്തനക്ഷമമാക്കുക

· പ്രീ-എംപ്റ്റീവ് ഇന്ററപ്റ്റുകളും കോൺഫിഗർ ചെയ്യാവുന്ന ഇന്ററപ്റ്റ് ട്രിഗർ അവസ്ഥയും പിന്തുണയ്ക്കുന്നതിന് CLIC പ്രാപ്തമാക്കുക.
· പ്രാപ്തമാക്കുമ്പോൾ, നിങ്ങൾക്ക് പ്ലാറ്റ്ഫോം തടസ്സങ്ങളുടെ എണ്ണം ക്രമീകരിക്കാനും ട്രിഗർ അവസ്ഥകൾ സജ്ജമാക്കാനും ചില തടസ്സങ്ങളെ പ്രീ-എംപ്റ്റീവ് ആയി നിയോഗിക്കാനും കഴിയും.

ഇന്ററപ്റ്റ് മോഡ് ഷാഡോ രജിസ്റ്റർ Files

ഇന്ററപ്റ്റ് തരങ്ങൾ ഡയറക്ട് അല്ലെങ്കിൽ വെക്റ്റേർഡ് ആയി വ്യക്തമാക്കുക. ഇന്ററപ്റ്റിൽ കോൺടെക്സ്റ്റ് സ്വിച്ചിംഗ് കുറയ്ക്കുന്നതിന് ഷാഡോ രജിസ്റ്റർ പ്രാപ്തമാക്കുക.

പട്ടിക 16.

കോർ ലെവൽ ഇന്ററപ്റ്റ് കൺട്രോളർ പ്രവർത്തനക്ഷമമാക്കുമ്പോൾ ഉണ്ടാകുന്ന ട്രാപ്പുകൾ, ഒഴിവാക്കലുകൾ, തടസ്സങ്ങൾ

കെണികൾ, ഒഴിവാക്കലുകൾ, തടസ്സങ്ങൾ

വിവരണങ്ങൾ

ഏജന്റിനെ പുനഃസജ്ജമാക്കുക
ഓഫ്സെറ്റ് പുനഃസജ്ജമാക്കുക
കോർ ലെവൽ ഇന്ററപ്റ്റ് കൺട്രോളർ (CLIC) പ്രവർത്തനക്ഷമമാക്കുക

· റീസെറ്റ് കോഡ് സ്ഥിതി ചെയ്യുന്ന റീസെറ്റ് വെക്റ്ററിനെ (നിയോസ് V പ്രോസസർ റീസെറ്റ് വിലാസം) ഹോസ്റ്റ് ചെയ്യുന്ന മെമ്മറി.
· നിയോസ് V പ്രൊസസർ ഇൻസ്ട്രക്ഷൻ മാസ്റ്ററുമായി ബന്ധിപ്പിച്ചിരിക്കുന്നതും നിയോസ് V പ്രൊസസർ ബൂട്ട് ഫ്ലോ പിന്തുണയ്ക്കുന്നതുമായ ഏത് മെമ്മറി മൊഡ്യൂളും നിങ്ങൾക്ക് റീസെറ്റ് ഏജന്റായി തിരഞ്ഞെടുക്കാം.
· തിരഞ്ഞെടുത്ത റീസെറ്റ് ഏജന്റിന്റെ അടിസ്ഥാന വിലാസവുമായി ബന്ധപ്പെട്ട് റീസെറ്റ് വെക്റ്ററിന്റെ ഓഫ്‌സെറ്റ് വ്യക്തമാക്കുന്നു. · പ്ലാറ്റ്‌ഫോം ഡിസൈനർ റീസെറ്റ് ഓഫ്‌സെറ്റിനായി സ്വയമേവ ഒരു സ്ഥിര മൂല്യം നൽകുന്നു.
· പ്രീ-എംപ്റ്റീവ് ഇന്ററപ്റ്റുകളും കോൺഫിഗർ ചെയ്യാവുന്ന ഇന്ററപ്റ്റ് ട്രിഗർ അവസ്ഥയും പിന്തുണയ്ക്കുന്നതിന് CLIC പ്രാപ്തമാക്കുക. · പ്രാപ്തമാക്കുമ്പോൾ, നിങ്ങൾക്ക് പ്ലാറ്റ്ഫോം ഇന്ററപ്റ്റുകളുടെ എണ്ണം കോൺഫിഗർ ചെയ്യാനും ട്രിഗർ അവസ്ഥകൾ സജ്ജമാക്കാനും കഴിയും,
കൂടാതെ ചില തടസ്സങ്ങളെ മുൻകൂർ പ്രതിരോധമായി നിശ്ചയിക്കുക.

ഇന്ററപ്റ്റ് മോഡ്

· ഇന്ററപ്റ്റ് തരങ്ങൾ ഡയറക്ട്, വെക്റ്റേർഡ് അല്ലെങ്കിൽ CLIC ആയി വ്യക്തമാക്കുക.

ഷാഡോ രജിസ്റ്റർ Files

· തടസ്സപ്പെടുമ്പോൾ സന്ദർഭ സ്വിച്ചിംഗ് കുറയ്ക്കുന്നതിന് ഷാഡോ രജിസ്റ്റർ പ്രാപ്തമാക്കുക.
· രണ്ട് സമീപനങ്ങൾ വാഗ്ദാനം ചെയ്യുന്നു:
— CLIC ഇന്ററപ്റ്റ് ലെവലുകളുടെ എണ്ണം
— CLIC ഇന്ററപ്റ്റ് ലെവലുകളുടെ എണ്ണം – 1: നിങ്ങൾക്ക് രജിസ്റ്ററിന്റെ എണ്ണം ആവശ്യമുള്ളപ്പോൾ ഈ ഓപ്ഷൻ ഉപയോഗപ്രദമാണ്. file കൃത്യമായ എണ്ണം M20K അല്ലെങ്കിൽ M9K ബ്ലോക്കുകളിൽ ഉൾക്കൊള്ളിക്കുന്നതിനുള്ള പകർപ്പുകൾ.
· ഷാഡോ രജിസ്റ്റർ ഉപയോഗിക്കുന്നതിന് നിയോസ് V പ്രോസസർ പ്രാപ്തമാക്കുക. fileഇന്ററപ്റ്റിൽ കോൺടെക്സ്റ്റ് സ്വിച്ചിംഗ് ഓവർഹെഡ് കുറയ്ക്കുന്ന s.
ഷാഡോ രജിസ്റ്ററിനെ കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക് files, Nios V പ്രോസസർ റഫറൻസ് മാനുവൽ കാണുക.

പ്ലാറ്റ്‌ഫോം ഇന്ററപ്റ്റ് സ്രോതസ്സുകളുടെ എണ്ണം

· 16 മുതൽ 2048 വരെയുള്ള പ്ലാറ്റ്‌ഫോം തടസ്സങ്ങളുടെ എണ്ണം വ്യക്തമാക്കുന്നു.
കുറിപ്പ്: CLIC 2064 ഇന്ററപ്റ്റ് ഇൻപുട്ടുകൾ വരെ പിന്തുണയ്ക്കുന്നു, കൂടാതെ ആദ്യത്തെ 16 ഇന്ററപ്റ്റ് ഇൻപുട്ടുകളും അടിസ്ഥാന ഇന്ററപ്റ്റ് കൺട്രോളറുമായി ബന്ധിപ്പിച്ചിരിക്കുന്നു.

CLIC വെക്റ്റർ പട്ടിക വിന്യാസം

· പ്ലാറ്റ്‌ഫോം ഇന്ററപ്റ്റ് സ്രോതസ്സുകളുടെ എണ്ണത്തെ അടിസ്ഥാനമാക്കി യാന്ത്രികമായി നിർണ്ണയിക്കപ്പെടുന്നു. · ശുപാർശ ചെയ്യുന്ന മൂല്യത്തിന് താഴെയുള്ള ഒരു വിന്യാസം നിങ്ങൾ ഉപയോഗിക്കുകയാണെങ്കിൽ, CLIC ലോജിക് വർദ്ധിപ്പിക്കുന്നു.
വെക്റ്ററിംഗ് കണക്കുകൂട്ടലുകൾ നടത്താൻ ഒരു അധിക ആഡർ ചേർക്കുന്നതിലൂടെ സങ്കീർണ്ണത. · ശുപാർശ ചെയ്യുന്ന മൂല്യത്തിന് താഴെയുള്ള ഒരു വിന്യാസം നിങ്ങൾ ഉപയോഗിക്കുകയാണെങ്കിൽ, ഇത് വർദ്ധിക്കുന്നതിന് കാരണമാകുന്നു
CLIC-യിലെ ലോജിക് സങ്കീർണ്ണത.
തുടർന്നു…

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 20

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16

കെണികൾ, ഒഴിവാക്കലുകൾ, തടസ്സങ്ങൾ
ഇന്ററപ്റ്റ് ലെവലുകളുടെ എണ്ണം
ഓരോ ലെവലിലും ഇന്ററപ്റ്റ് മുൻഗണനകളുടെ എണ്ണം
കോൺഫിഗർ ചെയ്യാവുന്ന ഇന്ററപ്റ്റ് പോളാരിറ്റി സപ്പോർട്ട് എഡ്ജ് ട്രിഗർ ചെയ്ത ഇന്ററപ്റ്റുകൾ

വിവരണങ്ങൾ
· ആപ്ലിക്കേഷൻ കോഡിനായി ഒരു അധിക ലെവൽ 0 ഉള്ള ഇന്ററപ്റ്റ് ലെവലുകളുടെ എണ്ണം വ്യക്തമാക്കുന്നു. ഉയർന്ന ലെവലിന്റെ ഇന്ററപ്റ്റുകൾക്ക് ഒരു ലോവർ-ലെവൽ ഇന്ററപ്റ്റിനായി പ്രവർത്തിക്കുന്ന ഹാൻഡ്‌ലറിനെ തടസ്സപ്പെടുത്താൻ (പ്രീ-എംപ്റ്റ്) കഴിയും.
· ഇന്ററപ്റ്റുകൾക്കുള്ള ഏക ഓപ്ഷനായി നോൺ-സീറോ ഇന്ററപ്റ്റ് ലെവലുകളുള്ളതിനാൽ, ആപ്ലിക്കേഷൻ കോഡ് എല്ലായ്പ്പോഴും ഏറ്റവും താഴ്ന്ന ലെവൽ 0-ൽ ആയിരിക്കും. കുറിപ്പ്: ഒരു ഇന്ററപ്റ്റിന്റെ ലെവലിന്റെ റൺ-ടൈം കോൺഫിഗറേഷനും മുൻഗണനയും ഒരൊറ്റ 8-ബിറ്റ് രജിസ്റ്ററിലാണ് ചെയ്യുന്നത്. ഇന്ററപ്റ്റ് ലെവലുകളുടെ എണ്ണം 256 ആണെങ്കിൽ, റൺ-ടൈമിൽ ഇന്ററപ്റ്റ് മുൻഗണന കോൺഫിഗർ ചെയ്യാൻ കഴിയില്ല. അല്ലെങ്കിൽ, കോൺഫിഗർ ചെയ്യാവുന്ന മുൻഗണനകളുടെ പരമാവധി എണ്ണം 256 / ആണ് (ഇന്ററപ്റ്റ് ലെവലുകളുടെ എണ്ണം - 1).
· പ്രീ-എംപ്റ്റിംഗ് അല്ലാത്ത ഇന്ററപ്റ്റ് ഹാൻഡ്‌ലറുകളെ വിളിക്കുന്ന ക്രമം നിർണ്ണയിക്കാൻ CLIC ഉപയോഗിക്കുന്ന ഇന്ററപ്റ്റ് മുൻഗണനകളുടെ എണ്ണം വ്യക്തമാക്കുന്നു. കുറിപ്പ്: തിരഞ്ഞെടുത്ത ഇന്ററപ്റ്റ് ലെവലിന്റെയും തിരഞ്ഞെടുത്ത ഇന്ററപ്റ്റ് മുൻഗണനയുടെയും ബൈനറി മൂല്യങ്ങളുടെ സംയോജനം 8 ബിറ്റുകളിൽ കുറവായിരിക്കണം.
· റൺടൈമിൽ ഇന്ററപ്റ്റ് പോളാരിറ്റി കോൺഫിഗർ ചെയ്യാൻ നിങ്ങളെ അനുവദിക്കുന്നു. · ഡിഫോൾട്ട് പോളാരിറ്റി പോസിറ്റീവ് പോളാരിറ്റി ആണ്.
· റൺടൈമിൽ ഇന്ററപ്റ്റ് ട്രിഗർ അവസ്ഥ കോൺഫിഗർ ചെയ്യാൻ നിങ്ങളെ അനുവദിക്കുന്നു, അതായത് ഹൈ-ലെവൽ ട്രിഗർ ചെയ്‌തതോ പോസിറ്റീവ്-എഡ്ജ് ട്രിഗർ ചെയ്‌തതോ (കോൺഫിഗർ ചെയ്യാവുന്ന ഇന്ററപ്റ്റ് പോളാരിറ്റിയിൽ ഇന്ററപ്റ്റ് പോളാരിറ്റി പോസിറ്റീവ് ആയിരിക്കുമ്പോൾ).
· ഡിഫോൾട്ട് ട്രിഗർ അവസ്ഥ ലെവൽ ട്രിഗർ ചെയ്ത ഇന്ററപ്റ്റ് ആണ്.

കുറിപ്പ്:

പ്ലാറ്റ്‌ഫോം ഡിസൈനർ ഒരു അബ്സൊല്യൂട്ട് ഓപ്ഷൻ നൽകുന്നു, ഇത് റീസെറ്റ് ഓഫ്‌സെറ്റിൽ ഒരു അബ്സൊല്യൂട്ട് വിലാസം വ്യക്തമാക്കാൻ നിങ്ങളെ അനുവദിക്കുന്നു. റീസെറ്റ് വെക്റ്റർ സംഭരിക്കുന്ന മെമ്മറി പ്രോസസർ സിസ്റ്റത്തിനും സബ്സിസ്റ്റങ്ങൾക്കും പുറത്തായിരിക്കുമ്പോൾ ഈ ഓപ്ഷൻ ഉപയോഗിക്കുക.

ബന്ധപ്പെട്ട വിവരങ്ങൾ Nios® V പ്രോസസ്സർ റഫറൻസ് മാനുവൽ

മെമ്മറി കോൺഫിഗറേഷൻ ടാബ്

പട്ടിക 17. മെമ്മറി കോൺഫിഗറേഷൻ ടാബ് പാരാമീറ്ററുകൾ

വിഭാഗം

മെമ്മറി കോൺഫിഗറേഷൻ ടാബ്

വിവരണം

കാഷെകൾ

ഡാറ്റ കാഷെ വലുപ്പം

· ഡാറ്റ കാഷെയുടെ വലുപ്പം വ്യക്തമാക്കുന്നു. · സാധുവായ വലുപ്പങ്ങൾ 0 കിലോബൈറ്റുകൾ (KB) മുതൽ 16 KB വരെയാണ്. · വലുപ്പം 0 KB ആകുമ്പോൾ ഡാറ്റ കാഷെ ഓഫാക്കുക.

ഇൻസ്ട്രക്ഷൻ കാഷെ വലുപ്പം

· ഇൻസ്ട്രക്ഷൻ കാഷെയുടെ വലുപ്പം വ്യക്തമാക്കുന്നു. · സാധുവായ വലുപ്പങ്ങൾ 0 KB മുതൽ 16 KB വരെയാണ്. · വലുപ്പം 0 KB ആകുമ്പോൾ ഇൻസ്ട്രക്ഷൻ കാഷെ ഓഫ് ചെയ്യുക.

പെരിഫറൽ മേഖല എ, ബി

വലിപ്പം

· പെരിഫറൽ മേഖലയുടെ വലിപ്പം വ്യക്തമാക്കുന്നു.
· സാധുവായ വലുപ്പങ്ങൾ 64 KB മുതൽ 2 ജിഗാബൈറ്റ് (GB) വരെയാണ്, അല്ലെങ്കിൽ ഒന്നുമില്ല. ഒന്നുമില്ല എന്നത് തിരഞ്ഞെടുക്കുന്നത് പെരിഫറൽ മേഖലയെ പ്രവർത്തനരഹിതമാക്കുന്നു.

അടിസ്ഥാന വിലാസം

· വലിപ്പം തിരഞ്ഞെടുത്ത ശേഷം പെരിഫറൽ മേഖലയുടെ അടിസ്ഥാന വിലാസം വ്യക്തമാക്കുന്നു.
· പെരിഫെറൽ മേഖലയിലെ എല്ലാ വിലാസങ്ങളും അൺകാച്ചുചെയ്യാൻ കഴിയാത്ത ഡാറ്റ ആക്‌സസ്സുകൾ നൽകുന്നു.
· പെരിഫറൽ റീജിയൻ ബേസ് വിലാസം പെരിഫറൽ റീജിയൻ വലുപ്പവുമായി വിന്യസിക്കണം.

ഇഴചേർന്ന ഓർമ്മകൾ

വലിപ്പം

· ഇറുകിയ മെമ്മറിയുടെ വലുപ്പം വ്യക്തമാക്കുന്നു. — സാധുവായ വലുപ്പങ്ങൾ 0 MB മുതൽ 512 MB വരെയാണ്.

അടിസ്ഥാന വിലാസം ആരംഭിക്കൽ File

· ഇറുകിയ-കപ്പിൾഡ് മെമ്മറിയുടെ അടിസ്ഥാന വിലാസം വ്യക്തമാക്കുന്നു. · ഇനീഷ്യലൈസേഷൻ വ്യക്തമാക്കുന്നു. file ദൃഢമായി ബന്ധിപ്പിച്ച ഓർമ്മയ്ക്കായി.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 21

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16

കുറിപ്പ്:

കാഷെ പ്രവർത്തനക്ഷമമാക്കിയ ഒരു Nios V പ്രൊസസർ സിസ്റ്റത്തിൽ, നിങ്ങൾ ഒരു പെരിഫറൽ മേഖലയ്ക്കുള്ളിൽ സിസ്റ്റം പെരിഫറലുകൾ സ്ഥാപിക്കണം. UART, PIO, DMA, തുടങ്ങിയ പെരിഫറലുകൾക്കായി കാഷെ ചെയ്യാൻ കഴിയാത്ത ഇടപാട് നിർവചിക്കാൻ നിങ്ങൾക്ക് പെരിഫറൽ മേഖലകൾ ഉപയോഗിക്കാം.

2.1.1.3.7. ഇസിസി ടാബ്

പട്ടിക 18. ഇസിസി ടാബ്
ECC പിശക് കണ്ടെത്തലും സ്റ്റാറ്റസ് റിപ്പോർട്ടിംഗും പ്രാപ്തമാക്കുക
സിംഗിൾ ബിറ്റ് തിരുത്തൽ പ്രാപ്തമാക്കുക

വിവരണം
· Nios V പ്രൊസസർ ഇന്റേണൽ റാം ബ്ലോക്കുകളിൽ ECC സവിശേഷത പ്രയോഗിക്കുന്നതിന് ഈ ഓപ്ഷൻ പ്രാപ്തമാക്കുക. · ECC സവിശേഷതകൾ 2-ബിറ്റ് പിശകുകൾ വരെ കണ്ടെത്തുകയും ഇനിപ്പറയുന്ന സ്വഭാവത്തെ അടിസ്ഥാനമാക്കി പ്രതികരിക്കുകയും ചെയ്യുന്നു:
— ഇത് ശരിയാക്കാവുന്ന ഒരു സിംഗിൾ ബിറ്റ് പിശകാണെങ്കിൽ, സിംഗിൾ ബിറ്റ് കറക്ഷൻ പ്രാപ്തമാക്കുക ഓഫാക്കിയിട്ടുണ്ടെങ്കിൽ, പ്രോസസ്സർ പൈപ്പ്‌ലൈനിലെ പിശക് തിരുത്തിയതിനുശേഷം പ്രോസസ്സർ പ്രവർത്തിക്കുന്നത് തുടരും. എന്നിരുന്നാലും, തിരുത്തൽ ഉറവിട മെമ്മറികളിൽ പ്രതിഫലിക്കുന്നില്ല.
— ഇതൊരു തിരുത്താവുന്ന സിംഗിൾ ബിറ്റ് പിശകാണെങ്കിൽ, സിംഗിൾ ബിറ്റ് കറക്ഷൻ പ്രാപ്തമാക്കുക ഓണാക്കിയിട്ടുണ്ടെങ്കിൽ, പ്രോസസ്സർ പൈപ്പ്‌ലൈനിലും സോഴ്‌സ് മെമ്മറികളിലുമുള്ള പിശക് തിരുത്തിയതിനുശേഷം പ്രോസസ്സർ പ്രവർത്തിക്കുന്നത് തുടരും.
— തിരുത്താൻ കഴിയാത്ത ഒരു പിശകാണെങ്കിൽ, പ്രോസസ്സർ അതിന്റെ പ്രവർത്തനം നിർത്തുന്നു.
കോറിലെ എംബഡഡ് മെമ്മറി ബ്ലോക്കുകളിൽ സിംഗിൾ ബിറ്റ് തിരുത്തൽ പ്രാപ്തമാക്കുക.

2.1.1.3.8. കസ്റ്റം ഇൻസ്ട്രക്ഷൻ ടാബ്

കുറിപ്പ്:

ഈ ടാബ് നിയോസ് V/g പ്രൊസസർ കോറിന് മാത്രമേ ലഭ്യമാകൂ.

കസ്റ്റം ഇൻസ്ട്രക്ഷൻ നിയോസ് V കസ്റ്റം ഇൻസ്ട്രക്ഷൻ ഹാർഡ്‌വെയർ ഇന്റർഫേസ് ടേബിൾ
നിയോസ് വി കസ്റ്റം ഇൻസ്ട്രക്ഷൻ സോഫ്റ്റ്‌വെയർ മാക്രോ ടേബിൾ

വിവരണം
· നിയോസ് വി പ്രോസസ്സർ അതിന്റെ കസ്റ്റം ഇൻസ്ട്രക്ഷൻ മാനേജർ ഇന്റർഫേസുകൾ നിർവചിക്കാൻ ഈ പട്ടിക ഉപയോഗിക്കുന്നു.
· നിർവചിക്കപ്പെട്ട കസ്റ്റം ഇൻസ്ട്രക്ഷൻ മാനേജർ ഇന്റർഫേസുകൾ ഒരു ഒപ്‌കോഡും (CUSTOM0-3) 3 ബിറ്റ് ഫംഗ്‌ഷൻ7 [6:4] ഉം ഉപയോഗിച്ച് അദ്വിതീയമായി എൻകോഡ് ചെയ്‌തിരിക്കുന്നു.
· നിങ്ങൾക്ക് ആകെ 32 വ്യക്തിഗത കസ്റ്റം ഇൻസ്ട്രക്ഷൻ മാനേജർ ഇന്റർഫേസുകൾ വരെ നിർവചിക്കാൻ കഴിയും.
· നിയോസ് വി പ്രോസസ്സർ ഈ പട്ടിക ഉപയോഗിക്കുന്നു, നിർവചിക്കപ്പെട്ട കസ്റ്റം ഇൻസ്ട്രക്ഷൻ മാനേജർ ഇന്റർഫേസുകൾക്കായി കസ്റ്റം ഇൻസ്ട്രക്ഷൻ സോഫ്റ്റ്‌വെയർ എൻകോഡിംഗുകൾ നിർവചിക്കാൻ ഇത് ഉപയോഗിക്കുന്നു.
· ഓരോ നിർവചിക്കപ്പെട്ട കസ്റ്റം ഇൻസ്ട്രക്ഷൻ സോഫ്റ്റ്‌വെയർ എൻകോഡിംഗിനും, Opcode (CUSTOM0-3) ഉം funct7[6:4] എൻകോഡിംഗിന്റെ 3 ബിറ്റുകളും കസ്റ്റം ഇൻസ്ട്രക്ഷൻ ഹാർഡ്‌വെയർ ഇന്റർഫേസ് ടേബിളിലെ ഒരു നിർവചിക്കപ്പെട്ട കസ്റ്റം ഇൻസ്ട്രക്ഷൻ മാനേജർ ഇന്റർഫേസ് എൻകോഡിംഗുമായി പരസ്പരബന്ധിതമായിരിക്കണം.
· നൽകിയിരിക്കുന്ന ഒരു കസ്റ്റം ഇൻസ്ട്രക്ഷനുള്ള അധിക എൻകോഡിംഗ് നിർവചിക്കുന്നതിന് നിങ്ങൾക്ക് funct7[6:4], funct7[3:0], funct3[2:0] എന്നിവ ഉപയോഗിക്കാം, അല്ലെങ്കിൽ അധിക ഇൻസ്ട്രക്ഷൻ ആർഗ്യുമെന്റുകളായി പാസാക്കേണ്ട Xs ആയി വ്യക്തമാക്കാം.
· system.h-ൽ ജനറേറ്റ് ചെയ്ത C-macros ആയി നിർവചിക്കപ്പെട്ട കസ്റ്റം ഇൻസ്ട്രക്ഷൻ സോഫ്റ്റ്‌വെയർ എൻകോഡിംഗുകൾ Nios V പ്രോസസ്സർ നൽകുന്നു, കൂടാതെ R-ടൈപ്പ് RISC-V ഇൻസ്ട്രക്ഷൻ ഫോർമാറ്റ് പിന്തുടരുന്നു.
· ഇവയ്‌ക്കുള്ള ഇഷ്‌ടാനുസൃത നാമങ്ങൾ നിർവചിക്കാൻ മെമ്മോണിക്‌സ് ഉപയോഗിക്കാം: — system.h-ൽ ജനറേറ്റ് ചെയ്‌ത സി-മാക്രോകൾ.
— custom_instruction_debug.xml-ൽ ജനറേറ്റ് ചെയ്ത GDB ഡീബഗ് മെമ്മോണിക്സ്.

ബന്ധപ്പെട്ട വിവരങ്ങൾ
AN 977: Nios V പ്രോസസർ കസ്റ്റം ഇൻസ്ട്രക്ഷൻ ഒരു പ്രത്യേക ആപ്ലിക്കേഷന്റെ ആവശ്യങ്ങൾ നിറവേറ്റുന്നതിനായി Nios® V പ്രോസസർ ഇഷ്ടാനുസൃതമാക്കാൻ നിങ്ങളെ അനുവദിക്കുന്ന കസ്റ്റം നിർദ്ദേശങ്ങളെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക്.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 22

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

2. ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറും പ്ലാറ്റ്‌ഫോം ഡിസൈനറുമായ നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ 726952 | 2025.07.16
2.1.2. സിസ്റ്റം ഘടക രൂപകൽപ്പന നിർവചിക്കൽ
നിയോസ് V പ്രോസസർ സിസ്റ്റത്തിന്റെ ഹാർഡ്‌വെയർ സവിശേഷതകൾ നിർവചിക്കുന്നതിനും ആവശ്യമുള്ള ഘടകങ്ങൾ ചേർക്കുന്നതിനും പ്ലാറ്റ്‌ഫോം ഡിസൈനർ ഉപയോഗിക്കുക. ഇനിപ്പറയുന്ന ഡയഗ്രം ഇനിപ്പറയുന്ന ഘടകങ്ങളുള്ള ഒരു അടിസ്ഥാന നിയോസ് V പ്രോസസർ സിസ്റ്റം ഡിസൈൻ കാണിക്കുന്നു: · നിയോസ് V പ്രോസസർ കോർ · ഓൺ-ചിപ്പ് മെമ്മറി · JTAG UART · ഇന്റർവെൽ ടൈമർ (ഓപ്ഷണൽ)(1)
ഒരു പ്ലാറ്റ്‌ഫോം ഡിസൈനർ സിസ്റ്റത്തിലേക്ക് ഒരു പുതിയ ഓൺ-ചിപ്പ് മെമ്മറി ചേർക്കുമ്പോൾ, പുനഃസജ്ജീകരണത്തിൽ ചേർത്ത മെമ്മറി ഘടകങ്ങൾ പ്രതിഫലിപ്പിക്കുന്നതിന് സിങ്ക് സിസ്റ്റം ഇൻഫോസ് നടത്തുക. പകരമായി, ഏറ്റവും പുതിയ ഘടക മാറ്റങ്ങൾ സ്വയമേവ പ്രതിഫലിപ്പിക്കുന്നതിന് നിങ്ങൾക്ക് പ്ലാറ്റ്‌ഫോം ഡിസൈനറിൽ ഓട്ടോ സിങ്ക് പ്രവർത്തനക്ഷമമാക്കാം.
ചിത്രം 11. Exampപ്ലാറ്റ്‌ഫോം ഡിസൈനറിലെ മറ്റ് പെരിഫെറലുകളുമായുള്ള നിയോസ് V പ്രോസസറിന്റെ കണക്ഷൻ.

(1) പ്ലാറ്റ്‌ഫോം ഡിസൈനറിലെ ബാഹ്യ ഇന്റർവെൽ ടൈമറിന് പകരമായി നിയോസ് V ഇന്റേണൽ ടൈമർ സവിശേഷതകൾ ഉപയോഗിക്കാനുള്ള ഓപ്ഷൻ നിങ്ങൾക്കുണ്ട്.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 23

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16
നിങ്ങളുടെ പ്ലാറ്റ്‌ഫോം ഡിസൈനർ സിസ്റ്റത്തിൽ കണ്ട്യൂറ്റായി എക്‌സ്‌പോർട്ട് ചെയ്യുന്നതിനുള്ള ഓപ്പറേഷൻ പിന്നുകളും നിങ്ങൾ നിർവചിക്കണം. ഉദാഹരണത്തിന്ampഅപ്പോൾ, ഒരു ശരിയായ FPGA സിസ്റ്റം ഓപ്പറേഷൻ പിൻ ലിസ്റ്റ് താഴെ പറയുന്ന രീതിയിൽ നിർവചിച്ചിരിക്കുന്നു, എന്നാൽ ഇവയിൽ മാത്രം പരിമിതപ്പെടുന്നില്ല:
· ക്ലോക്ക്
· പുനഃസജ്ജമാക്കുക
· I/O സിഗ്നലുകൾ
2.1.3. അടിസ്ഥാന വിലാസങ്ങളും ഇന്ററപ്റ്റ് അഭ്യർത്ഥന മുൻഗണനകളും വ്യക്തമാക്കൽ
ഒരു സിസ്റ്റം രൂപപ്പെടുത്തുന്നതിന് ഡിസൈനിൽ ചേർത്ത ഘടകങ്ങൾ എങ്ങനെ ഇടപെടുന്നുവെന്ന് വ്യക്തമാക്കുന്നതിന്, ഓരോ ഏജന്റ് ഘടകത്തിനും അടിസ്ഥാന വിലാസങ്ങൾ നൽകുകയും J-യ്‌ക്ക് ഇന്ററപ്റ്റ് റിക്വസ്റ്റ് (IRQ) മുൻഗണനകൾ നൽകുകയും വേണം.TAG UART ഉം ഇടവേള ടൈമറും. പ്ലാറ്റ്‌ഫോം ഡിസൈനർ ഒരു കമാൻഡ് നൽകുന്നു - അസൈൻ ബേസ് അഡ്രസ്സുകൾ - ഇത് ഒരു സിസ്റ്റത്തിലെ എല്ലാ ഘടകങ്ങൾക്കും ശരിയായ ബേസ് വിലാസങ്ങൾ യാന്ത്രികമായി നൽകുന്നു. എന്നിരുന്നാലും, നിങ്ങളുടെ ആവശ്യങ്ങൾക്കനുസരിച്ച് ബേസ് വിലാസങ്ങൾ ക്രമീകരിക്കാൻ കഴിയും.
അടിസ്ഥാന വിലാസങ്ങൾ നൽകുന്നതിനുള്ള ചില മാർഗ്ഗനിർദ്ദേശങ്ങൾ താഴെ കൊടുക്കുന്നു:
· നിയോസ് V പ്രോസസർ കോറിന് 32-ബിറ്റ് വിലാസ സ്പാൻ ഉണ്ട്. ഏജന്റ് ഘടകങ്ങൾ ആക്‌സസ് ചെയ്യുന്നതിന്, അവയുടെ അടിസ്ഥാന വിലാസം 0x00000000 നും 0xFFFFFFF നും ഇടയിലായിരിക്കണം.
· നിയോസ് V പ്രോഗ്രാമുകൾ വിലാസങ്ങളെ പരാമർശിക്കാൻ പ്രതീകാത്മക സ്ഥിരാങ്കങ്ങൾ ഉപയോഗിക്കുന്നു. എളുപ്പത്തിൽ ഓർമ്മിക്കാൻ കഴിയുന്ന വിലാസ മൂല്യങ്ങൾ നിങ്ങൾ തിരഞ്ഞെടുക്കേണ്ടതില്ല.
· ഒരു ബിറ്റ് വിലാസ വ്യത്യാസം മാത്രമുള്ള ഘടകങ്ങളെ വേർതിരിക്കുന്ന വിലാസ മൂല്യങ്ങൾ കൂടുതൽ കാര്യക്ഷമമായ ഹാർഡ്‌വെയർ ഉത്പാദിപ്പിക്കുന്നു. എല്ലാ അടിസ്ഥാന വിലാസങ്ങളും സാധ്യമായ ഏറ്റവും ചെറിയ വിലാസ ശ്രേണിയിലേക്ക് ഒതുക്കേണ്ടതില്ല, കാരണം കോം‌പാക്റ്റിംഗ് കുറഞ്ഞ കാര്യക്ഷമതയുള്ള ഹാർഡ്‌വെയർ സൃഷ്ടിക്കും.
· പ്ലാറ്റ്‌ഫോം ഡിസൈനർ തുടർച്ചയായ മെമ്മറി ശ്രേണിയിൽ പ്രത്യേക മെമ്മറി ഘടകങ്ങൾ വിന്യസിക്കാൻ ശ്രമിക്കുന്നില്ല. ഉദാഹരണത്തിന്ampഉദാഹരണത്തിന്, നിങ്ങൾക്ക് ഒന്നിലധികം ഓൺ-ചിപ്പ് മെമ്മറി ഘടകങ്ങൾ ഒരു തുടർച്ചയായ മെമ്മറി ശ്രേണിയായി അഭിസംബോധന ചെയ്യണമെങ്കിൽ, നിങ്ങൾ വ്യക്തമായി അടിസ്ഥാന വിലാസങ്ങൾ നൽകണം.
പ്ലാറ്റ്‌ഫോം ഡിസൈനർ ഒരു ഓട്ടോമേഷൻ കമാൻഡും നൽകുന്നു - സാധുവായ ഹാർഡ്‌വെയർ ഫലങ്ങൾ നൽകുന്നതിന് IRQ സിഗ്നലുകളെ ബന്ധിപ്പിക്കുന്ന അസൈൻ ഇന്ററപ്റ്റ് നമ്പറുകൾ. എന്നിരുന്നാലും, IRQ-കൾ ഫലപ്രദമായി നൽകുന്നതിന് മൊത്തത്തിലുള്ള സിസ്റ്റം പ്രതികരണ സ്വഭാവത്തെക്കുറിച്ച് ഒരു ധാരണ ആവശ്യമാണ്. മികച്ച IRQ അസൈൻമെന്റിനെക്കുറിച്ച് പ്ലാറ്റ്‌ഫോം ഡിസൈനർക്ക് വിദ്യാസമ്പന്നമായ ഊഹങ്ങൾ നടത്താൻ കഴിയില്ല.
ഏറ്റവും കുറഞ്ഞ IRQ മൂല്യത്തിനാണ് ഏറ്റവും ഉയർന്ന മുൻഗണന. ഒരു ആദർശ സിസ്റ്റത്തിൽ, സിസ്റ്റം ക്ലോക്ക് ടിക്കിന്റെ കൃത്യത നിലനിർത്തുന്നതിന്, ടൈമർ ഘടകത്തിന് ഏറ്റവും ഉയർന്ന മുൻഗണനയുള്ള IRQ, അതായത് ഏറ്റവും കുറഞ്ഞ മൂല്യം, ഉണ്ടായിരിക്കണമെന്ന് Altera ശുപാർശ ചെയ്യുന്നു.
ചില സന്ദർഭങ്ങളിൽ, റിയൽ ടൈം പെരിഫെറലുകൾക്ക് (വീഡിയോ കൺട്രോളറുകൾ പോലുള്ളവ) ഉയർന്ന മുൻഗണന നൽകാം, ഇതിന് ടൈമർ ഘടകങ്ങളേക്കാൾ ഉയർന്ന ഇന്ററപ്റ്റ് നിരക്ക് ആവശ്യമാണ്.
ബന്ധപ്പെട്ട വിവരങ്ങൾ
ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ ഉപയോക്തൃ ഗൈഡ്: പ്ലാറ്റ്‌ഫോം ഡിസൈനർ ഉപയോഗിച്ച് ഒരു സിസ്റ്റം സൃഷ്ടിക്കുന്നതിനെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾ.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 24

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

2. ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറും പ്ലാറ്റ്‌ഫോം ഡിസൈനറുമായ നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ 726952 | 2025.07.16
2.2. ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റിലേക്ക് പ്ലാറ്റ്‌ഫോം ഡിസൈനർ സിസ്റ്റം സംയോജിപ്പിക്കൽ.
പ്ലാറ്റ്‌ഫോം ഡിസൈനറിൽ നിയോസ് V സിസ്റ്റം ഡിസൈൻ സൃഷ്ടിച്ചതിനുശേഷം, നിയോസ് V സിസ്റ്റം മൊഡ്യൂളിനെ ക്വാർട്ടസ് പ്രൈം FPGA ഡിസൈൻ പ്രോജക്റ്റിലേക്ക് സംയോജിപ്പിക്കുന്നതിന് ഇനിപ്പറയുന്ന ജോലികൾ ചെയ്യുക. · ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റിൽ നിയോസ് V സിസ്റ്റം മൊഡ്യൂൾ ഇൻസ്റ്റന്റൈസ് ചെയ്യുക · FPGA ലോജിക്കിലെ മറ്റ് സിഗ്നലുകളുമായി നിയോസ് V സിസ്റ്റം മൊഡ്യൂളിൽ നിന്നുള്ള സിഗ്നലുകൾ ബന്ധിപ്പിക്കുക · ഫിസിക്കൽ പിന്നുകളുടെ സ്ഥാനം നൽകുക · FPGA ഡിസൈൻ നിയന്ത്രിക്കുക
2.2.1. ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റിൽ നിയോസ് V പ്രൊസസർ സിസ്റ്റം മൊഡ്യൂൾ ഇൻസ്റ്റാൾ ചെയ്യുന്നു.
ക്വാർട്ടസ് പ്രൈമിൽ നിങ്ങൾക്ക് ഇൻസ്റ്റന്റിയേറ്റ് ചെയ്യാൻ കഴിയുന്ന ഒരു സിസ്റ്റം മൊഡ്യൂൾ ഡിസൈൻ എന്റിറ്റി പ്ലാറ്റ്‌ഫോം ഡിസൈനർ സൃഷ്ടിക്കുന്നു. മൊത്തത്തിലുള്ള ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റിനായുള്ള ഡിസൈൻ എൻട്രി രീതിയെ ആശ്രയിച്ചിരിക്കും നിങ്ങൾ സിസ്റ്റം മൊഡ്യൂൾ എങ്ങനെ ഇൻസ്റ്റന്റിയേറ്റ് ചെയ്യുന്നത്. ഉദാഹരണത്തിന്ampഉദാഹരണത്തിന്, നിങ്ങൾ ഡിസൈൻ എൻട്രിക്ക് വെരിലോഗ് HDL ഉപയോഗിക്കുകയാണെങ്കിൽ, വെരിലോഗ് അധിഷ്ഠിത സിസ്റ്റം മൊഡ്യൂൾ ഇൻസ്റ്റന്റ് ചെയ്യുക. ഡിസൈൻ എൻട്രിക്ക് ബ്ലോക്ക് ഡയഗ്രം രീതി ഉപയോഗിക്കാൻ നിങ്ങൾ ആഗ്രഹിക്കുന്നുവെങ്കിൽ, ഒരു സിസ്റ്റം മൊഡ്യൂൾ ചിഹ്നം .bdf ഇൻസ്റ്റന്റ് ചെയ്യുക. file.
2.2.2. സിഗ്നലുകൾ ബന്ധിപ്പിക്കുകയും ഫിസിക്കൽ പിൻ സ്ഥാനങ്ങൾ നൽകുകയും ചെയ്യുന്നു.
നിങ്ങളുടെ Altera FPGA ഡിസൈൻ നിങ്ങളുടെ ബോർഡ്-ലെവൽ ഡിസൈനുമായി ബന്ധിപ്പിക്കുന്നതിന്, ഇനിപ്പറയുന്ന ജോലികൾ ചെയ്യുക: · ടോപ്പ്-ലെവൽ തിരിച്ചറിയുക file നിങ്ങളുടെ ഡിസൈനും സിഗ്നലുകളും ബാഹ്യ ആൾട്ടേരയുമായി ബന്ധിപ്പിക്കുന്നതിന്
FPGA ഉപകരണ പിന്നുകൾ. · നിങ്ങളുടെ ബോർഡ്-ലെവൽ ഡിസൈൻ ഉപയോക്തൃ ഗൈഡിലൂടെ ഏതൊക്കെ പിന്നുകളാണ് ബന്ധിപ്പിക്കേണ്ടതെന്ന് മനസ്സിലാക്കുക അല്ലെങ്കിൽ
സ്കീമാറ്റിക്സ്. · പിൻ ഉപയോഗിച്ച് നിങ്ങളുടെ ആൾട്ടേര FPGA ഉപകരണത്തിലെ പോർട്ടുകളിലേക്ക് ടോപ്പ്-ലെവൽ ഡിസൈനിലെ സിഗ്നലുകൾ നൽകുക.
അസൈൻമെന്റ് ഉപകരണങ്ങൾ.
നിങ്ങളുടെ പ്ലാറ്റ്‌ഫോം ഡിസൈനർ സിസ്റ്റത്തിന് ഉയർന്ന തലത്തിലുള്ള ഡിസൈൻ ആകാം. എന്നിരുന്നാലും, നിങ്ങളുടെ ആവശ്യങ്ങൾക്കനുസരിച്ച് അധിക ലോജിക്കും ആൾട്ടേര എഫ്‌പി‌ജി‌എയിൽ ഉൾപ്പെടുത്താൻ കഴിയും, അങ്ങനെ ഒരു ഇഷ്ടാനുസൃത ടോപ്പ്-ലെവൽ അവതരിപ്പിക്കുന്നു. file. ഉന്നതതലം file നിയോസ് V പ്രൊസസർ സിസ്റ്റം മൊഡ്യൂൾ സിഗ്നലുകളെ മറ്റ് ആൾട്ടേര FPGA ഡിസൈൻ ലോജിക്കുമായി ബന്ധിപ്പിക്കുന്നു.
ബന്ധപ്പെട്ട വിവരങ്ങൾ ക്വാർട്ടസ് പ്രൈം പ്രോ പതിപ്പ് ഉപയോക്തൃ ഗൈഡ്: ഡിസൈൻ നിയന്ത്രണങ്ങൾ
2.2.3. ആൾട്ടേര എഫ്‌പി‌ജി‌എ ഡിസൈൻ നിയന്ത്രിക്കൽ
ശരിയായ ആൾട്ടേര എഫ്‌പി‌ജി‌എ സിസ്റ്റം ഡിസൈനിൽ, ഡിസൈൻ ടൈമിംഗ് ക്ലോഷറും മറ്റ് ലോജിക് കൺസ്ട്രൈന്റ് ആവശ്യകതകളും പാലിക്കുന്നുണ്ടെന്ന് ഉറപ്പാക്കാൻ ഡിസൈൻ നിയന്ത്രണങ്ങൾ ഉൾപ്പെടുന്നു. ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറിൽ നൽകിയിരിക്കുന്ന ഉപകരണങ്ങൾ അല്ലെങ്കിൽ മൂന്നാം കക്ഷി ഇഡിഎ ദാതാക്കൾ ഉപയോഗിച്ച് ഈ ആവശ്യകതകൾ വ്യക്തമായി നിറവേറ്റുന്നതിന് നിങ്ങളുടെ ആൾട്ടേര എഫ്‌പി‌ജി‌എ ഡിസൈൻ പരിമിതപ്പെടുത്തണം. ഒപ്റ്റിമൽ പ്ലേസ്‌മെന്റ് ഫലങ്ങൾ ലഭിക്കുന്നതിന് കംപൈലേഷൻ ഘട്ടത്തിൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ നൽകിയിരിക്കുന്ന നിയന്ത്രണങ്ങൾ ഉപയോഗിക്കുന്നു.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 25

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16
ബന്ധപ്പെട്ട വിവരങ്ങൾ · ക്വാർട്ടസ് പ്രൈം പ്രോ പതിപ്പ് ഉപയോക്തൃ ഗൈഡ്: ഡിസൈൻ നിയന്ത്രണങ്ങൾ · മൂന്നാം കക്ഷി ഇഡിഎ പങ്കാളികൾ · ക്വാർട്ടസ് പ്രൈം പ്രോ പതിപ്പ് ഉപയോക്തൃ ഗൈഡ്: ടൈമിംഗ് അനലൈസർ
2.3. ഒരു നിയോസ് V പ്രൊസസർ മെമ്മറി സിസ്റ്റം രൂപകൽപ്പന ചെയ്യുന്നു
നിയോസ് V പ്രൊസസറുള്ള ഒരു പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എംബഡഡ് സിസ്റ്റത്തിൽ മെമ്മറി ഉപകരണങ്ങൾ തിരഞ്ഞെടുക്കുന്നതിനും മികച്ച പ്രകടനം കൈവരിക്കുന്നതിനുമുള്ള മികച്ച രീതികൾ ഈ വിഭാഗം വിവരിക്കുന്നു. ഒരു എംബഡഡ് സിസ്റ്റത്തിന്റെ മൊത്തത്തിലുള്ള പ്രകടനം മെച്ചപ്പെടുത്തുന്നതിൽ മെമ്മറി ഉപകരണങ്ങൾ നിർണായക പങ്ക് വഹിക്കുന്നു. എംബഡഡ് സിസ്റ്റം മെമ്മറി പ്രോഗ്രാം നിർദ്ദേശങ്ങളും ഡാറ്റയും സംഭരിക്കുന്നു.
2.3.1. വോളറ്റൈൽ മെമ്മറി
മെമ്മറി തരത്തിലെ ഒരു പ്രധാന വ്യത്യാസം അസ്ഥിരതയാണ്. മെമ്മറി ഉപകരണത്തിലേക്ക് വൈദ്യുതി വിതരണം ചെയ്യുമ്പോൾ മാത്രമേ വോളറ്റൈൽ മെമ്മറി അതിന്റെ ഉള്ളടക്കങ്ങൾ നിലനിർത്തുകയുള്ളൂ. പവർ നീക്കം ചെയ്യുമ്പോൾ, മെമ്മറി അതിന്റെ ഉള്ളടക്കങ്ങൾ നഷ്ടപ്പെടും.
Exampവോളറ്റൈൽ മെമ്മറിയുടെ ചില പ്രധാന ഘടകങ്ങൾ RAM, കാഷെ, രജിസ്റ്ററുകൾ എന്നിവയാണ്. റണ്ണിംഗ് പെർഫോമൻസ് വർദ്ധിപ്പിക്കുന്ന ഫാസ്റ്റ് മെമ്മറി തരങ്ങളാണിവ. റാമിൽ Nios V പ്രൊസസർ നിർദ്ദേശങ്ങൾ ലോഡ് ചെയ്ത് എക്സിക്യൂട്ട് ചെയ്യാനും, ഒപ്റ്റിമൽ പ്രകടനത്തിനായി Nios V IP കോർ ഓൺ-ചിപ്പ് മെമ്മറി IP അല്ലെങ്കിൽ എക്സ്റ്റേണൽ മെമ്മറി ഇന്റർഫേസ് IP എന്നിവയുമായി ജോടിയാക്കാനും Altera ശുപാർശ ചെയ്യുന്നു.
പ്രകടനം മെച്ചപ്പെടുത്തുന്നതിന്, ബൂട്ട് റാമുമായി നിയോസ് V പ്രൊസസർ ഡാറ്റ മാനേജർ ഇന്റർഫേസ് തരം അല്ലെങ്കിൽ വീതി പൊരുത്തപ്പെടുത്തുന്നതിലൂടെ നിങ്ങൾക്ക് അധിക പ്ലാറ്റ്‌ഫോം ഡിസൈനർ അഡാപ്റ്റേഷൻ ഘടകങ്ങൾ ഇല്ലാതാക്കാൻ കഴിയും. ഉദാഹരണത്തിന്ampപിന്നെ, നിയോസ് V ഡാറ്റ മാനേജർ ഇന്റർഫേസുമായി പൊരുത്തപ്പെടുന്ന 32-ബിറ്റ് AXI-4 ഇന്റർഫേസ് ഉപയോഗിച്ച് നിങ്ങൾക്ക് ഓൺ-ചിപ്പ് മെമ്മറി II കോൺഫിഗർ ചെയ്യാൻ കഴിയും.
ബന്ധപ്പെട്ട വിവരങ്ങൾ · ബാഹ്യ മെമ്മറി ഇന്റർഫേസുകൾ ഐപി സപ്പോർട്ട് സെന്റർ · ഓൺ-ചിപ്പ് മെമ്മറി (റാം അല്ലെങ്കിൽ റോം) ആൾട്ടേര എഫ്‌പി‌ജി‌എ ഐപി · ഓൺ-ചിപ്പ് മെമ്മറി II (റാം അല്ലെങ്കിൽ റോം) ആൾട്ടേര എഫ്‌പി‌ജി‌എ ഐപി · നിയോസ് വി പ്രോസസർ ആപ്ലിക്കേഷൻ പേജ് 54 ലെ OCRAM-ൽ നിന്ന് എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ്
2.3.1.1. ഓൺ-ചിപ്പ് മെമ്മറി കോൺഫിഗറേഷൻ RAM അല്ലെങ്കിൽ ROM
നിങ്ങൾക്ക് Altera FPGA ഓൺ-ചിപ്പ് മെമ്മറി IP-കൾ RAM അല്ലെങ്കിൽ ROM ആയി കോൺഫിഗർ ചെയ്യാൻ കഴിയും. · RAM വായന-എഴുത്ത് ശേഷി നൽകുന്നു, കൂടാതെ അസ്ഥിര സ്വഭാവവുമുണ്ട്. നിങ്ങൾ ആണെങ്കിൽ
ഒരു ഓൺ-ചിപ്പ് റാമിൽ നിന്ന് നിയോസ് V പ്രോസസർ ബൂട്ട് ചെയ്യുമ്പോൾ, റൺ സമയത്ത് റീസെറ്റ് ചെയ്യുമ്പോൾ ബൂട്ട് ഉള്ളടക്കം സംരക്ഷിക്കപ്പെട്ടിട്ടുണ്ടെന്നും കേടായിട്ടില്ലെന്നും നിങ്ങൾ ഉറപ്പാക്കണം. · ഒരു നിയോസ് V പ്രോസസർ റോമിൽ നിന്നാണ് ബൂട്ട് ചെയ്യുന്നതെങ്കിൽ, നിയോസ് V പ്രോസസറിലെ ഏതെങ്കിലും സോഫ്റ്റ്‌വെയർ ബഗിന് ഓൺ-ചിപ്പ് മെമ്മറിയുടെ ഉള്ളടക്കങ്ങൾ തെറ്റായി ഓവർറൈറ്റ് ചെയ്യാൻ കഴിയില്ല. അങ്ങനെ, ബൂട്ട് സോഫ്റ്റ്‌വെയർ കറപ്ഷന്റെ സാധ്യത കുറയ്ക്കുന്നു.
ബന്ധപ്പെട്ട വിവരങ്ങൾ · ഓൺ-ചിപ്പ് മെമ്മറി (റാം അല്ലെങ്കിൽ റോം) ആൾട്ടേര FPGA IP · ഓൺ-ചിപ്പ് മെമ്മറി II (റാം അല്ലെങ്കിൽ റോം) ആൾട്ടേര FPGA IP · പേജ് 54-ൽ OCRAM-ൽ നിന്ന് Nios V പ്രോസസർ ആപ്ലിക്കേഷൻ എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ്

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 26

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

2. ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറും പ്ലാറ്റ്‌ഫോം ഡിസൈനറുമായ നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ 726952 | 2025.07.16
2.3.1.2. കാഷെകൾ
കാഷെ പ്രവർത്തനം നടപ്പിലാക്കാൻ സാധാരണയായി ഓൺ-ചിപ്പ് മെമ്മറികൾ ഉപയോഗിക്കുന്നു, കാരണം അവയുടെ ലേറ്റൻസി കുറവാണ്. നിയോസ് V പ്രോസസർ അതിന്റെ നിർദ്ദേശങ്ങൾക്കും ഡാറ്റ കാഷെകൾക്കും ഓൺ-ചിപ്പ് മെമ്മറി ഉപയോഗിക്കുന്നു. ഓൺ-ചിപ്പ് മെമ്മറിയുടെ പരിമിതമായ ശേഷി സാധാരണയായി കാഷെകൾക്ക് ഒരു പ്രശ്നമല്ല, കാരണം അവ സാധാരണയായി ചെറുതാണ്.
കാഷെകൾ സാധാരണയായി ഇനിപ്പറയുന്ന സാഹചര്യങ്ങളിൽ ഉപയോഗിക്കുന്നു:
· റെഗുലർ മെമ്മറി ചിപ്പിന് പുറത്താണ് സ്ഥിതി ചെയ്യുന്നത്, കൂടാതെ ചിപ്പ് മെമ്മറിയേക്കാൾ കൂടുതൽ ആക്‌സസ് സമയവുമുണ്ട്.
· സോഫ്റ്റ്‌വെയർ കോഡിന്റെ പ്രകടന-നിർണ്ണായക ഭാഗങ്ങൾ ഇൻസ്ട്രക്ഷൻ കാഷെയിൽ ഉൾക്കൊള്ളാൻ കഴിയും, ഇത് സിസ്റ്റം പ്രകടനം മെച്ചപ്പെടുത്തുന്നു.
· പ്രകടനത്തിന് നിർണായകമായ, ഏറ്റവും കൂടുതൽ ഉപയോഗിക്കുന്ന ഡാറ്റ വിഭാഗം ഡാറ്റ കാഷെയിൽ ഉൾപ്പെടുത്താൻ കഴിയും, ഇത് സിസ്റ്റം പ്രകടനം മെച്ചപ്പെടുത്തുന്നു.
നിയോസ് V പ്രൊസസറിൽ കാഷെകൾ പ്രാപ്തമാക്കുന്നത് ഒരു മെമ്മറി ശ്രേണി സൃഷ്ടിക്കുന്നു, ഇത് മെമ്മറി ആക്സസ് സമയം കുറയ്ക്കുന്നു.
2.3.1.2.1. പെരിഫറൽ മേഖല
UART, I2C, SPI പോലുള്ള എംബഡഡ് പെരിഫറൽ ഐപികൾ കാഷെ ചെയ്യാൻ പാടില്ല. ദീർഘമായ ആക്‌സസ് സമയം ബാധിക്കുന്ന ബാഹ്യ മെമ്മറികൾക്ക് കാഷെ വളരെ ശുപാർശ ചെയ്യുന്നു, അതേസമയം ഇന്റേണൽ ഓൺ-ചിപ്പ് മെമ്മറികൾ അവയുടെ ഹ്രസ്വമായ ആക്‌സസ് സമയം കാരണം ഒഴിവാക്കപ്പെട്ടേക്കാം. മെമ്മറികൾ ഒഴികെ, UART, I2C, SPI പോലുള്ള എംബഡഡ് പെരിഫറൽ ഐപികളൊന്നും നിങ്ങൾ കാഷെ ചെയ്യരുത്. സോഫ്റ്റ് ഐപികൾ അപ്‌ഡേറ്റ് ചെയ്യുന്ന ഏജന്റ് ഉപകരണങ്ങൾ പോലുള്ള ബാഹ്യ ഉപകരണങ്ങളിൽ നിന്നുള്ള ഇവന്റുകൾ പ്രോസസർ കാഷെ പിടിച്ചെടുക്കുന്നില്ല, അതിനാൽ പ്രോസസ്സറിന് അവ ലഭിക്കുന്നില്ല എന്നതിനാൽ ഇത് പ്രധാനമാണ്. തൽഫലമായി, നിങ്ങൾ കാഷെ ഫ്ലഷ് ചെയ്യുന്നതുവരെ ഈ ഇവന്റുകൾ ശ്രദ്ധിക്കപ്പെടാതെ പോകാം, ഇത് നിങ്ങളുടെ സിസ്റ്റത്തിൽ ഉദ്ദേശിക്കാത്ത പെരുമാറ്റത്തിലേക്ക് നയിച്ചേക്കാം. ചുരുക്കത്തിൽ, എംബഡഡ് പെരിഫറൽ ഐപികളുടെ മെമ്മറി-മാപ്പ് ചെയ്ത മേഖല അൺചേഞ്ച് ചെയ്യാൻ കഴിയാത്തതാണ്, കൂടാതെ പ്രോസസറിന്റെ പെരിഫറൽ മേഖലകളിൽ സ്ഥിതിചെയ്യുകയും വേണം.
ഒരു പെരിഫറൽ മേഖല സജ്ജമാക്കാൻ, ഈ ഘട്ടങ്ങൾ പാലിക്കുക:
1. പ്ലാറ്റ്‌ഫോം ഡിസൈനറിൽ സിസ്റ്റത്തിന്റെ വിലാസ മാപ്പ് തുറക്കുക.
2. പ്രോസസ്സറിന്റെ ഇൻസ്ട്രക്ഷൻ മാനേജറിന്റെയും ഡാറ്റ മാനേജറിന്റെയും വിലാസ മാപ്പിലേക്ക് നാവിഗേറ്റ് ചെയ്യുക.
3. നിങ്ങളുടെ സിസ്റ്റത്തിലെ പെരിഫെറലുകളും മെമ്മറികളും തിരിച്ചറിയുക.
ചിത്രം 12. Exampവിലാസ ഭൂപടത്തിന്റെ പേര്

കുറിപ്പ്: നീല അമ്പടയാളങ്ങൾ ഓർമ്മകളിലേക്കാണ് വിരൽ ചൂണ്ടുന്നത്. 4. പെരിഫറലുകൾ ഗ്രൂപ്പുചെയ്യുക:
a. മെമ്മറി കാഷെ ചെയ്യാൻ കഴിയുന്നത്ര b. പെരിഫറലുകൾ അൺകാഷെ ചെയ്യാൻ കഴിയാത്തത്ര

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 27

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16

പട്ടിക 19. കാഷെ ചെയ്യാവുന്നതും കാഷെ ചെയ്യാനാവാത്തതുമായ മേഖല

കീഴ്വഴക്കം

വിലാസ ഭൂപടം

നില

പെരിഫറൽ മേഖല

വലിപ്പം

അടിസ്ഥാന വിലാസം

ഉപയോക്തൃ_ആപ്ലിക്കേഷൻ_മെം.എസ്1

0x0 ~ 0x3ffff

കാഷെ ചെയ്യാവുന്നത്

N/A

N/A

cpu.dm_agent ബൂട്ട്കോപ്പിയർ_റോം.s1

0x40000 ~ 0x4ffff 0x50000 ~ 0x517ff

കാഷെ ചെയ്യാനാവാത്തത് കാഷെ ചെയ്യാവുന്നത്

65536 ബൈറ്റുകൾ ബാധകമല്ല

0x40000 N/A

bootcopier_ram.s1 cpu.timer_sw_agent മെയിൽബോക്സ്.avmm

0x52000 ~ 0x537ff 0x54000 ~ 0x5403f 0x54040 ~ 0x5407f

കാഷെ ചെയ്യാവുന്നത് കാഷെ ചെയ്യാനാവാത്തത് കാഷെ ചെയ്യാനാവാത്തത്

144 ബൈറ്റുകൾ (കുറഞ്ഞ വലുപ്പം 65536 ബൈറ്റുകൾ)

0x54000

sysid_qsys_0.കൺട്രോൾ_സ്ലേവ്

0x54080 ~ 0x54087

കാഷെ ചെയ്യാൻ കഴിയാത്തത്

uart.avalon_j (യുആർട്ട്.അവലോൺ_ജെ)tag_അടിമ

0x54088 ~ 0x5408f

കാഷെ ചെയ്യാൻ കഴിയാത്തത്

5. പെരിഫറൽ മേഖലകളെ അവയുടെ പ്രത്യേക വലുപ്പങ്ങൾക്കൊപ്പം വിന്യസിക്കുക:
· ഉദാample, വലിപ്പം 65536 ബൈറ്റുകളാണെങ്കിൽ, അത് 0x10000 ബൈറ്റുകൾക്ക് തുല്യമാണ്. അതിനാൽ, അനുവദനീയമായ അടിസ്ഥാന വിലാസം 0x10000 ന്റെ ഗുണിതമായിരിക്കണം.
· CPU.dm_agent 0x40000 എന്ന അടിസ്ഥാന വിലാസം ഉപയോഗിക്കുന്നു, ഇത് 0x10000 ന്റെ ഗുണിതമാണ്. തൽഫലമായി, 65536 ബൈറ്റുകളുടെ വലുപ്പവും 0x40000 എന്ന അടിസ്ഥാന വിലാസവുമുള്ള പെരിഫറൽ മേഖല A ആവശ്യകതകൾ നിറവേറ്റുന്നു.
· 0x54000 ലെ അൺകാഷെബിൾ റീജിയണുകളുടെ ശേഖരത്തിന്റെ അടിസ്ഥാന വിലാസം 0x10000 ന്റെ ഗുണിതമല്ല. നിങ്ങൾ അവയെ 0x60000 അല്ലെങ്കിൽ 0x10000 ന്റെ മറ്റ് ഗുണിതങ്ങളിലേക്ക് പുനർനിയമിക്കേണ്ടതുണ്ട്. അങ്ങനെ, 65536 ബൈറ്റുകളുടെ വലുപ്പവും 0x60000 അടിസ്ഥാന വിലാസവുമുള്ള പെരിഫറൽ റീജിയൺ ബി മാനദണ്ഡങ്ങൾ പാലിക്കുന്നു.

പട്ടിക 20. റീസൈൻമെന്റ് ഉള്ള കാഷെ ചെയ്യാവുന്നതും കാഷെ ചെയ്യാനാവാത്തതുമായ മേഖല

കീഴ്വഴക്കം

വിലാസ ഭൂപടം

നില

പെരിഫറൽ മേഖല

വലിപ്പം

അടിസ്ഥാന വിലാസം

ഉപയോക്തൃ_ആപ്ലിക്കേഷൻ_മെം.എസ്1

0x0 ~ 0x3ffff

കാഷെ ചെയ്യാവുന്നത്

N/A

N/A

സിപിയു.ഡിഎം_ഏജന്റ്

0x40000 ~ 0x4ffff

കാഷെ ചെയ്യാൻ കഴിയാത്ത 65536 ബൈറ്റുകൾ

0x40000

ബൂട്ട്കോപ്പിയർ_റോം.എസ്1

0x50000 ~ 0x517 അടി

കാഷെ ചെയ്യാവുന്നത്

N/A

N/A

bootcopier_ram.s1 cpu.timer_sw_agent മെയിൽബോക്സ്.avmm sysid_qsys_0.control_slave

0x52000 ~ 0x537ff 0x60000 ~ 0x6003f 0x60040 ~ 0x6007f 0x60080 ~ 0x60087

കാഷെ ചെയ്യാവുന്നത് കാഷെ ചെയ്യാനാവാത്തത് കാഷെ ചെയ്യാനാവാത്തത്

144 ബൈറ്റുകൾ (കുറഞ്ഞ വലുപ്പം 65536 ബൈറ്റുകൾ)

0x60000

uart.avalon_j (യുആർട്ട്.അവലോൺ_ജെ)tag_അടിമ

0x60088 ~ 0x6008f

കാഷെ ചെയ്യാൻ കഴിയാത്തത്

2.3.1.3. ടൈറ്റ്‌ലി കപ്പിൾഡ് മെമ്മറി
ടൈറ്റ്‌ലി കപ്പിൾഡ് മെമ്മറികൾ (TCM-കൾ) ഓൺ-ചിപ്പ് മെമ്മറി ഉപയോഗിച്ചാണ് നടപ്പിലാക്കുന്നത്, കാരണം അവയുടെ കുറഞ്ഞ ലേറ്റൻസി അവയെ ടാസ്‌ക്കിന് നന്നായി അനുയോജ്യമാക്കുന്നു. TCM-കൾ സാധാരണ വിലാസ സ്‌പെയ്‌സിൽ മാപ്പ് ചെയ്‌ത മെമ്മറികളാണ്, പക്ഷേ മൈക്രോപ്രൊസസ്സറിലേക്ക് ഒരു സമർപ്പിത ഇന്റർഫേസ് ഉണ്ട് കൂടാതെ കാഷെ മെമ്മറിയുടെ ഉയർന്ന പ്രകടനവും കുറഞ്ഞ ലേറ്റൻസി ഗുണങ്ങളുമുണ്ട്. ബാഹ്യ ഹോസ്റ്റിനായി TCM ഒരു സബോർഡിനേറ്റ് ഇന്റർഫേസും നൽകുന്നു. TCM കൈകാര്യം ചെയ്യുന്നതിന് പ്രോസസ്സറിനും ബാഹ്യ ഹോസ്റ്റിനും ഒരേ അനുമതി നിലയുണ്ട്.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 28

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16

കുറിപ്പ്:

TCM സബോർഡിനേറ്റ് പോർട്ട് ഒരു ബാഹ്യ ഹോസ്റ്റുമായി ബന്ധിപ്പിക്കുമ്പോൾ, പ്രോസസർ കോറിൽ നൽകിയിരിക്കുന്ന അടിസ്ഥാന വിലാസത്തിൽ നിന്ന് വ്യത്യസ്തമായ ഒരു അടിസ്ഥാന വിലാസം ഉപയോഗിച്ച് അത് പ്രദർശിപ്പിക്കപ്പെട്ടേക്കാം. രണ്ട് വിലാസങ്ങളും ഒരേ മൂല്യത്തിലേക്ക് വിന്യസിക്കാൻ ആൾട്ടേര ശുപാർശ ചെയ്യുന്നു.

2.3.1.4. എക്സ്റ്റേണൽ മെമ്മറി ഇന്റർഫേസ് (EMIF)
EMIF (എക്‌സ്റ്റേണൽ മെമ്മറി ഇന്റർഫേസ്) SRAM (സ്റ്റാറ്റിക് റാൻഡം ആക്‌സസ് മെമ്മറി) പോലെ തന്നെ പ്രവർത്തിക്കുന്നു, പക്ഷേ ഇത് ഡൈനാമിക് ആണ്, അതിന്റെ ഉള്ളടക്കം നിലനിർത്താൻ ആനുകാലിക പുതുക്കൽ ആവശ്യമാണ്. EMIF-ലെ ഡൈനാമിക് മെമ്മറി സെല്ലുകൾ SRAM-ലെ സ്റ്റാറ്റിക് മെമ്മറി സെല്ലുകളേക്കാൾ വളരെ ചെറുതാണ്, ഇത് ഉയർന്ന ശേഷിയും കുറഞ്ഞ വിലയുള്ള മെമ്മറി ഉപകരണങ്ങളും നൽകുന്നു.
പുതുക്കൽ ആവശ്യകതയ്ക്ക് പുറമേ, EMIF-ന് പ്രത്യേക ഇന്റർഫേസ് ആവശ്യകതകളുണ്ട്, അവയ്ക്ക് പലപ്പോഴും പ്രത്യേക കൺട്രോളർ ഹാർഡ്‌വെയർ ആവശ്യമാണ്. ഒരു നിശ്ചിത വിലാസ ലൈനുകളുള്ള SRAM-ൽ നിന്ന് വ്യത്യസ്തമായി, EMIF അതിന്റെ മെമ്മറി സ്‌പെയ്‌സ് ബാങ്കുകൾ, വരികൾ, നിരകൾ എന്നിങ്ങനെ ക്രമീകരിക്കുന്നു. ബാങ്കുകളും വരികളും തമ്മിൽ മാറുന്നത് ചില ഓവർഹെഡുകൾ അവതരിപ്പിക്കുന്നു, അതിനാൽ EMIF കാര്യക്ഷമമായി ഉപയോഗിക്കുന്നതിന് നിങ്ങൾ മെമ്മറി ആക്‌സസുകൾ ശ്രദ്ധാപൂർവ്വം ക്രമീകരിക്കണം. EMIF ഒരേ വിലാസ ലൈനുകളിൽ വരി, നിര വിലാസങ്ങൾ മൾട്ടിപ്ലക്‌സുകളായി നിർമ്മിക്കുന്നു, ഇത് ഒരു നിശ്ചിത EMIF വലുപ്പത്തിന് ആവശ്യമായ പിന്നുകളുടെ എണ്ണം കുറയ്ക്കുന്നു.
DDR, DDR2, DDR3, DDR4, DDR5 പോലുള്ള EMIF-ന്റെ ഉയർന്ന വേഗതയുള്ള പതിപ്പുകൾ PCB ഡിസൈനർമാർ പരിഗണിക്കേണ്ട കർശനമായ സിഗ്നൽ സമഗ്രത ആവശ്യകതകൾ ചുമത്തുന്നു.
EMIF ഉപകരണങ്ങൾ ഏറ്റവും ചെലവ് കുറഞ്ഞതും ഉയർന്ന ശേഷിയുള്ളതുമായ RAM തരങ്ങളിൽ ഒന്നാണ്, ഇത് അവയെ ഒരു ജനപ്രിയ ഓപ്ഷനാക്കി മാറ്റുന്നു. EMIF ഇന്റർഫേസിന്റെ ഒരു പ്രധാന ഘടകം EMIF IP ആണ്, ഇത് അഡ്രസ് മൾട്ടിപ്ലക്സിംഗ്, പുതുക്കൽ, വരികൾക്കും ബാങ്കുകൾക്കുമിടയിൽ മാറൽ എന്നിവയുമായി ബന്ധപ്പെട്ട ജോലികൾ കൈകാര്യം ചെയ്യുന്നു. ഈ ഡിസൈൻ സിസ്റ്റത്തിന്റെ ബാക്കിയുള്ളവയ്ക്ക് EMIF-ന്റെ ആന്തരിക ആർക്കിടെക്ചർ മനസ്സിലാക്കാതെ തന്നെ അതിലേക്ക് പ്രവേശിക്കാൻ അനുവദിക്കുന്നു.

ബന്ധപ്പെട്ട വിവരങ്ങൾ ബാഹ്യ മെമ്മറി ഇന്റർഫേസുകൾ ഐപി പിന്തുണാ കേന്ദ്രം

2.3.1.4.1. അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ ഐപി
അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ ആൾട്ടേര FPGA IP, മെമ്മറി-മാപ്പ് ചെയ്ത ഹോസ്റ്റ് ഇന്റർഫേസുകൾക്ക് അവയുടെ അഡ്രസ് സിഗ്നലുകളുടെ വീതി അനുവദിക്കുന്നതിനേക്കാൾ വലുതോ ചെറുതോ ആയ ഒരു അഡ്രസ് മാപ്പ് ആക്സസ് ചെയ്യാൻ അനുവദിക്കുന്നു. അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ IP, അഡ്രസ് ചെയ്യാവുന്ന സ്ഥലത്തെ ഒന്നിലധികം പ്രത്യേക വിൻഡോകളായി വിഭജിക്കുന്നു, അതുവഴി ഹോസ്റ്റിന് വിൻഡോയിലൂടെ മെമ്മറിയുടെ ഉചിതമായ ഭാഗം ആക്സസ് ചെയ്യാൻ കഴിയും.
അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ ഹോസ്റ്റിന്റെയും ഏജന്റിന്റെയും വീതി 32-ബിറ്റ്, 64 ബിറ്റ് കോൺഫിഗറേഷനിലേക്ക് പരിമിതപ്പെടുത്തുന്നില്ല. 1-64 ബിറ്റ് അഡ്രസ് വിൻഡോകൾ ഉള്ള അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ നിങ്ങൾക്ക് ഉപയോഗിക്കാം.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 29

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16

ചിത്രം 13. അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ ആൾട്ടേര FPGA IP
ഏജന്റ് വേഡ് വിലാസം

അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ

A

മാപ്പിംഗ് ടേബിൾ
കൺട്രോൾ പോർട്ട് എ

കൺട്രോൾ രജിസ്റ്റർ 0 കൺട്രോൾ രജിസ്റ്റർ Z-1

വികസിപ്പിച്ച ഹോസ്റ്റ് വിലാസം H

ബന്ധപ്പെട്ട വിവരങ്ങൾ
ക്വാർട്ടസ്® പ്രൈം പ്രോ പതിപ്പ് ഉപയോക്തൃ ഗൈഡ്: പ്ലാറ്റ്‌ഫോം ഡിസൈനർ കൂടുതൽ വിവരങ്ങൾക്ക് അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ ഇന്റൽ® എഫ്‌പി‌ജി‌എ ഐ‌പി എന്ന വിഷയം കാണുക.

2.3.1.4.2. നിയോസ് വി പ്രോസസ്സറിനൊപ്പം അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ ഐപി ഉപയോഗിക്കുന്നു
32-ബിറ്റ് നിയോസ് V പ്രോസസ്സറിന് ഒരു അഡ്രസ് സ്പാനിന്റെ 4 GB വരെ കൈകാര്യം ചെയ്യാൻ കഴിയും. EMIF-ൽ 4GB-യിൽ കൂടുതൽ മെമ്മറി അടങ്ങിയിട്ടുണ്ടെങ്കിൽ, അത് പിന്തുണയ്ക്കുന്ന പരമാവധി അഡ്രസ് സ്പാൻ കവിയുന്നു, ഇത് പ്ലാറ്റ്ഫോം ഡിസൈനർ സിസ്റ്റത്തെ തെറ്റായി ചിത്രീകരിക്കുന്നു. ഒരൊറ്റ EMIF അഡ്രസ് സ്പേസ് ഒന്നിലധികം ചെറിയ വിൻഡോകളായി വിഭജിച്ച് ഈ പ്രശ്നം പരിഹരിക്കുന്നതിന് ഒരു അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ IP ആവശ്യമാണ്.
ഇനിപ്പറയുന്ന പാരാമീറ്ററുകൾ പരിഗണിക്കാൻ ആൾട്ടേര ശുപാർശ ചെയ്യുന്നു.

പട്ടിക 21. അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ പാരാമീറ്ററുകൾ

പരാമീറ്റർ

ശുപാർശചെയ്‌ത ക്രമീകരണങ്ങൾ

ഡാറ്റാപാത്ത് വീതി
വികസിപ്പിച്ച മാസ്റ്റർ ബൈറ്റ് വിലാസ വീതി

32-ബിറ്റ് പ്രോസസറുമായി സഹകരിച്ച് പ്രവർത്തിക്കുന്ന 32-ബിറ്റുകൾ തിരഞ്ഞെടുക്കുക. EMIF മെമ്മറി വലുപ്പത്തെ ആശ്രയിച്ചിരിക്കുന്നു.

സ്ലേവ് വേഡ് വിലാസ വീതി ബർസ്റ്റ്കൗണ്ട് വീതി

2 GB അല്ലെങ്കിൽ അതിൽ കുറവ് തിരഞ്ഞെടുക്കുക. Nios V പ്രൊസസറിന്റെ ശേഷിക്കുന്ന വിലാസ സ്പാൻ മറ്റ് എംബഡഡ് സോഫ്റ്റ് IP-കൾക്കായി നീക്കിവച്ചിരിക്കുന്നു.
പ്രകടനം മെച്ചപ്പെടുത്തുന്നതിന് 1-ൽ നിന്ന് ആരംഭിച്ച് ക്രമേണ ഈ മൂല്യം വർദ്ധിപ്പിക്കുക.

ഉപ-ജാലകങ്ങളുടെ എണ്ണം

EMIF നെ Nios V പ്രൊസസ്സറുമായി ഇൻസ്ട്രക്ഷൻ ആയും ഡാറ്റ മെമ്മറിയായും ബന്ധിപ്പിക്കുകയാണെങ്കിൽ, അല്ലെങ്കിൽ രണ്ടും കൂടി ഉപയോഗിക്കുകയാണെങ്കിൽ, ഒരു സബ്-വിൻഡോ തിരഞ്ഞെടുക്കുക. Nios V പ്രൊസസ്സർ EMIF-ൽ നിന്ന് എക്സിക്യൂട്ട് ചെയ്യുമ്പോൾ ഒന്നിലധികം സബ്-വിൻഡോകൾക്കിടയിൽ മാറുന്നത് അപകടകരമാണ്.

സ്ലേവ് കൺട്രോൾ പോർട്ട് പ്രവർത്തനക്ഷമമാക്കുക

നിർദ്ദേശമായും/അല്ലെങ്കിൽ ഡാറ്റ മെമ്മറിയായും നിയോസ് V പ്രൊസസറുമായി EMIF ബന്ധിപ്പിക്കുകയാണെങ്കിൽ സ്ലേവ് കൺട്രോൾ പോർട്ട് പ്രവർത്തനരഹിതമാക്കുക. സബ്-വിൻഡോകളുടെ എണ്ണവുമായി ബന്ധപ്പെട്ട അതേ ആശങ്കകൾ.

പരമാവധി ശേഷിക്കുന്ന വായനകൾ

പ്രകടനം മെച്ചപ്പെടുത്തുന്നതിന് 1-ൽ നിന്ന് ആരംഭിച്ച് ക്രമേണ ഈ മൂല്യം വർദ്ധിപ്പിക്കുക.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 30

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

2. ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറും പ്ലാറ്റ്‌ഫോം ഡിസൈനറുമായ നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ 726952 | 2025.07.16
ചിത്രം 14. അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡറിലേക്ക് ഇൻസ്ട്രക്ഷനും ഡാറ്റ മാനേജറും ബന്ധിപ്പിക്കുന്നു.

ചിത്രം 15. വിലാസ മാപ്പിംഗ്

ശ്രദ്ധിക്കുക, അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡറിന് EMIF-ന്റെ മുഴുവൻ 8GB മെമ്മറി സ്പേസും ആക്‌സസ് ചെയ്യാൻ കഴിയും. എന്നിരുന്നാലും, അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ വഴി, നിയോസ് V പ്രോസസറിന് EMIF-ന്റെ ആദ്യത്തെ 1GB മെമ്മറി സ്‌പേസ് മാത്രമേ ആക്‌സസ് ചെയ്യാൻ കഴിയൂ.

ചിത്രം 16. ലളിതമാക്കിയ ബ്ലോക്ക് ഡയഗ്രം

പ്ലാറ്റ്ഫോം ഡിസൈനർ സിസ്റ്റം

ബാക്കി 3 ജിബി

നിയോസ് വി പ്രോസസർ വിലാസം

സ്പാൻ എംബഡഡ് ചെയ്യുന്നതിനുള്ളതാണ്

NNioios sVV PProrocecsesosor r
M

ഒരേ സിസ്റ്റത്തിലെ സോഫ്റ്റ് ഐപികൾ.
1 ജിബി വിൻഡോ

വിലാസ വ്യാപ്തി

S

എക്സ്റ്റെൻഡർ

M

ആദ്യത്തെ 1 GB മാത്രം

EMIF മെമ്മറി നിയോസ് V-ലേക്ക് ബന്ധിപ്പിച്ചിരിക്കുന്നു

EMIF

പ്രൊസസർ.

8 ജിബി
S

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 31

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16
2.3.1.4.3. അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ ലിങ്കർ മെമ്മറി ഡിവൈസ് നിർവചിക്കുന്നു 1. അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ (EMIF) റീസെറ്റ് വെക്റ്ററായി നിർവചിക്കുക. പകരമായി, നിങ്ങൾക്ക് Nios V പ്രോസസർ റീസെറ്റ് വെക്റ്റർ OCRAM അല്ലെങ്കിൽ ഫ്ലാഷ് ഉപകരണങ്ങൾ പോലുള്ള മറ്റ് മെമ്മറികളിലേക്ക് നൽകാം.
ചിത്രം 17. റീസെറ്റ് വെക്‌ടറായി ഒന്നിലധികം ഓപ്ഷനുകൾ
എന്നിരുന്നാലും, ബോർഡ് സപ്പോർട്ട് പാക്കേജ് (BSP) എഡിറ്ററിന് അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ (EMIF) ഒരു സാധുവായ മെമ്മറിയായി സ്വയമേവ രജിസ്റ്റർ ചെയ്യാൻ കഴിയില്ല. നിങ്ങൾ തിരഞ്ഞെടുത്ത തിരഞ്ഞെടുപ്പിനെ ആശ്രയിച്ച്, ഇനിപ്പറയുന്ന ചിത്രങ്ങളിൽ കാണിച്ചിരിക്കുന്നതുപോലെ നിങ്ങൾക്ക് രണ്ട് വ്യത്യസ്ത സാഹചര്യങ്ങൾ കാണാൻ കഴിയും. ചിത്രം 18. അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ (EMIF) റീസെറ്റ് വെക്റ്ററായി നിർവചിക്കുമ്പോൾ BSP പിശക്.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 32

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

2. ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറും പ്ലാറ്റ്‌ഫോം ഡിസൈനറുമായ നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ 726952 | 2025.07.16
ചിത്രം 19. മറ്റ് മെമ്മറികളെ റീസെറ്റ് വെക്റ്ററായി നിർവചിക്കുമ്പോൾ EMIF കാണുന്നില്ല.

2. BSP ലിങ്കർ സ്ക്രിപ്റ്റ് ടാബിൽ ആഡ് മെമ്മറി ഡിവൈസ്, ആഡ് ലിങ്കർ മെമ്മറി റീജിയൻ, ആഡ് ലിങ്കർ സെക്ഷൻ മാപ്പിംഗുകൾ എന്നിവ ഉപയോഗിച്ച് നിങ്ങൾ അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ (EMIF) സ്വമേധയാ ചേർക്കണം.
3. ഈ ഘട്ടങ്ങൾ പാലിക്കുക:
a. മെമ്മറി മാപ്പ് ഉപയോഗിച്ച് അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡറിന്റെ അഡ്രസ് സ്പാൻ നിർണ്ണയിക്കുക (ഉദാ.ampതാഴെ കൊടുത്തിരിക്കുന്ന ചിത്രത്തിലെ le, 0x0 മുതൽ 0x3fff_ffff വരെയുള്ള അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ ശ്രേണി ഉപയോഗിക്കുന്നു).
ചിത്രം 20. മെമ്മറി മാപ്പ്

b. മെമ്മറി ഡിവൈസ് ചേർക്കുക ക്ലിക്ക് ചെയ്യുക, നിങ്ങളുടെ ഡിസൈനിന്റെ മെമ്മറി മാപ്പിലെ വിവരങ്ങളെ അടിസ്ഥാനമാക്കി പൂരിപ്പിക്കുക: i. ഉപകരണത്തിന്റെ പേര്: emif_ddr4. കുറിപ്പ്: മെമ്മറി മാപ്പിൽ നിന്ന് അതേ പേര് പകർത്തുന്നത് ഉറപ്പാക്കുക. ii. അടിസ്ഥാന വിലാസം: 0x0 iii. വലുപ്പം: 0x40000000
c. ഒരു പുതിയ ലിങ്കർ മെമ്മറി മേഖല ചേർക്കാൻ ചേർക്കുക ക്ലിക്ക് ചെയ്യുക:

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 33

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16

പട്ടിക 22. ലിങ്കർ മെമ്മറി റീജിയൻ ചേർക്കുന്നു

പടികൾ

വെക്റ്റർ പുനഃസജ്ജമാക്കുക

emif_ddr4 - ലോഗോ

മറ്റ് ഓർമ്മകൾ

1

റീസെറ്റ് എന്ന പുതിയ ലിങ്കർ മെമ്മറി റീജിയൻ ചേർക്കുക. ഇതിനായി ഒരു പുതിയ ലിങ്കർ മെമ്മറി റീജിയൻ ചേർക്കുക

· പ്രദേശത്തിന്റെ പേര്: പുനഃസജ്ജമാക്കുക

emif_ddr4 എന്ന് ടൈപ്പ് ചെയ്യുക.

· മേഖല വലുപ്പം: 0x20

· പ്രദേശത്തിന്റെ പേര്: emif_ddr4

· മെമ്മറി ഉപകരണം: emif_ddr4

· മേഖല വലുപ്പം: 0x40000000

· മെമ്മറി ഓഫ്‌സെറ്റ്: 0x0

· മെമ്മറി ഉപകരണം: emif_ddr4

· മെമ്മറി ഓഫ്‌സെറ്റ്: 0x0

2

ഇതിനായി ഒരു പുതിയ ലിങ്കർ മെമ്മറി റീജിയൻ ചേർക്കുക

ശേഷിക്കുന്ന emif_ddr4.

· പ്രദേശത്തിന്റെ പേര്: emif_ddr4

· മേഖല വലുപ്പം: 0x3ffffe0

· മെമ്മറി ഉപകരണം: emif_ddr4

· മെമ്മറി ഓഫ്‌സെറ്റ്: 0x20

ചിത്രം 21. അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ (EMIF) റീസെറ്റ് വെക്റ്ററായി നിർവചിക്കുമ്പോൾ ലിങ്കർ റീജിയൻ

ചിത്രം 22. മറ്റ് മെമ്മറികളെ റീസെറ്റ് വെക്റ്ററായി നിർവചിക്കുമ്പോൾ ലിങ്കർ റീജിയൻ
d. BSP-യിൽ emif_ddr4 ചേർത്തുകഴിഞ്ഞാൽ, ഏത് ലിങ്കർ വിഭാഗത്തിനും നിങ്ങൾക്ക് അത് തിരഞ്ഞെടുക്കാം.
ചിത്രം 23. ചേർത്ത അഡ്രസ് സ്പാൻ എക്സ്റ്റെൻഡർ (EMIF) വിജയകരമായി.

e. SOPC ഡിസൈനിൽ മെമ്മറി ഉപകരണം emif_ddr4 ദൃശ്യമല്ല എന്ന മുന്നറിയിപ്പ് അവഗണിക്കുക.
f. BSP ജനറേറ്റ് ചെയ്യാൻ തുടരുക.
അനുബന്ധ വിവരങ്ങൾ പേജ് 51-ൽ നിയോസ് V പ്രോസസർ ബൂട്ടിംഗ് രീതികളെക്കുറിച്ചുള്ള ആമുഖം

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 34

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

2. ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറും പ്ലാറ്റ്‌ഫോം ഡിസൈനറുമായ നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ 726952 | 2025.07.16
2.3.2. അസ്ഥിരമല്ലാത്ത മെമ്മറി
പവർ ഓഫ് ചെയ്യുമ്പോൾ നോൺ-വോളറ്റൈൽ മെമ്മറി അതിന്റെ ഉള്ളടക്കങ്ങൾ നിലനിർത്തുന്നു, ഇത് ഒരു സിസ്റ്റം പവർ സൈക്കിളിന് ശേഷം സിസ്റ്റം വീണ്ടെടുക്കേണ്ട വിവരങ്ങൾ സംഭരിക്കുന്നതിന് നല്ലൊരു തിരഞ്ഞെടുപ്പാക്കി മാറ്റുന്നു. നോൺ-വോളറ്റൈൽ മെമ്മറി സാധാരണയായി പ്രോസസർ ബൂട്ട്-കോഡ്, പെർസിസ്റ്റന്റ് ആപ്ലിക്കേഷൻ ക്രമീകരണങ്ങൾ, ആൾട്ടേര FPGA കോൺഫിഗറേഷൻ ഡാറ്റ എന്നിവ സംഭരിക്കുന്നു. നോൺ-വോളറ്റൈൽ മെമ്മറിക്ക് ഒരു മുൻതൂക്കം ഉണ്ടെങ്കിലുംtage of retaining its data when you remove the power, it is much slower compare to volatile memory, and often has more complex writing and erasing procedures. Non-volatile memory is also usually only guaranteed to be erasable a given number of times, after which it may fail.
Exampഅസ്ഥിരമല്ലാത്ത മെമ്മറികളിൽ എല്ലാത്തരം ഫ്ലാഷ്, EPROM, EEPROM എന്നിവയും ഉൾപ്പെടുന്നു. ആൾട്ടേര FPGA ബിറ്റ്സ്ട്രീമുകളും നിയോസ് V പ്രോഗ്രാം ഇമേജുകളും ഒരു അസ്ഥിരമല്ലാത്ത മെമ്മറിയിൽ സംഭരിക്കാനും നിയോസ് V പ്രോസസ്സറുകൾക്കുള്ള ബൂട്ട് ഉപകരണമായി സീരിയൽ ഫ്ലാഷ് ഉപയോഗിക്കാനും ആൾട്ടേര നിങ്ങളെ ശുപാർശ ചെയ്യുന്നു.
ബന്ധപ്പെട്ട വിവരങ്ങൾ
· ജനറിക് സീരിയൽ ഫ്ലാഷ് ഇന്റർഫേസ് ആൾട്ടേര FPGA IP ഉപയോക്തൃ ഗൈഡ്
· മെയിൽബോക്സ് ക്ലയന്റ് ആൾട്ടേര FPGA IP ഉപയോക്തൃ ഗൈഡ് · MAX® 10 യൂസർ ഫ്ലാഷ് മെമ്മറി ഉപയോക്തൃ ഗൈഡ്: ഓൺ-ചിപ്പ് ഫ്ലാഷ് ആൾട്ടേര FPGA IP കോർ
2.4. ക്ലോക്കുകളും പുനഃസജ്ജീകരണങ്ങളും മികച്ച രീതികൾ
നിയോസ് V പ്രോസസർ ക്ലോക്കും റീസെറ്റ് ഡൊമെയ്നും അത് ബന്ധിപ്പിക്കുന്ന ഓരോ പെരിഫെറലുമായും എങ്ങനെ സംവദിക്കുന്നുവെന്ന് മനസ്സിലാക്കേണ്ടത് പ്രധാനമാണ്. ഒരു ലളിതമായ നിയോസ് V പ്രോസസർ സിസ്റ്റം ഒരു ക്ലോക്ക് ഡൊമെയ്നിൽ നിന്നാണ് ആരംഭിക്കുന്നത്, കൂടാതെ ഒരു വേഗതയേറിയ ക്ലോക്ക് ഡൊമെയ്ൻ ഒരു സ്ലോ ക്ലോക്ക് ഡൊമെയ്നുമായി കൂട്ടിയിടിക്കുമ്പോൾ ഒരു മൾട്ടി-ക്ലോക്ക് ഡൊമെയ്ൻ സിസ്റ്റത്തിൽ ഇത് സങ്കീർണ്ണമാകും. ഈ വ്യത്യസ്ത ഡൊമെയ്നുകൾ റീസെറ്റിന് പുറത്ത് എങ്ങനെ ക്രമീകരിച്ചിരിക്കുന്നുവെന്ന് നിങ്ങൾ ശ്രദ്ധിക്കുകയും മനസ്സിലാക്കുകയും സൂക്ഷ്മമായ പ്രശ്നങ്ങളൊന്നുമില്ലെന്ന് ഉറപ്പാക്കുകയും വേണം.
മികച്ച പരിശീലനത്തിനായി, Nios V പ്രോസസ്സറും ബൂട്ട് മെമ്മറിയും ഒരേ ക്ലോക്ക് ഡൊമെയ്‌നിൽ സ്ഥാപിക്കാൻ Altera ശുപാർശ ചെയ്യുന്നു. വളരെ വേഗത കുറഞ്ഞ ക്ലോക്ക് ഡൊമെയ്‌നിലുള്ള മെമ്മറിയിൽ നിന്ന് ബൂട്ട് ചെയ്യുമ്പോൾ Nios V പ്രോസസ്സർ ഒരു ഫാസ്റ്റ് ക്ലോക്ക് ഡൊമെയ്‌നിൽ റീസെറ്റിൽ നിന്ന് റിലീസ് ചെയ്യരുത്, ഇത് ഒരു ഇൻസ്ട്രക്ഷൻ ഫെച്ച് പിശകിന് കാരണമായേക്കാം. പ്ലാറ്റ്‌ഫോം ഡിസൈനർ സ്ഥിരസ്ഥിതിയായി നൽകുന്നതിനപ്പുറം നിങ്ങൾക്ക് ചില മാനുവൽ സീക്വൻസിംഗ് ആവശ്യമായി വന്നേക്കാം, കൂടാതെ നിങ്ങളുടെ ഉപയോഗ സാഹചര്യത്തെ അടിസ്ഥാനമാക്കി റീസെറ്റ് റിലീസ് ടോപ്പോളജി ആസൂത്രണം ചെയ്യുക. നിങ്ങളുടെ സിസ്റ്റം വന്ന് കുറച്ച് സമയത്തേക്ക് പ്രവർത്തിച്ചതിന് ശേഷം അത് പുനഃസജ്ജമാക്കണമെങ്കിൽ, സിസ്റ്റം റീസെറ്റ് സീക്വൻസിംഗിനും പോസ്റ്റ് റീസെറ്റ് ഇനീഷ്യലൈസേഷൻ ആവശ്യകതയ്ക്കും ഇതേ പരിഗണനകൾ പ്രയോഗിക്കുക.
2.4.1. സിസ്റ്റം ജെTAG ക്ലോക്ക്
ഓരോ നിയോസ് V പ്രൊസസർ സിസ്റ്റത്തിലും ക്ലോക്ക് നിയന്ത്രണങ്ങൾ വ്യക്തമാക്കുന്നത് ഒരു പ്രധാന സിസ്റ്റം ഡിസൈൻ പരിഗണനയാണ്, കൃത്യതയ്ക്കും നിർണായക സ്വഭാവത്തിനും ഇത് ആവശ്യമാണ്. വ്യവസായ-സ്റ്റാൻഡേർഡ് നിയന്ത്രണങ്ങൾ, വിശകലനം, റിപ്പോർട്ടിംഗ് രീതിശാസ്ത്രം എന്നിവ ഉപയോഗിച്ച് നിങ്ങളുടെ ഡിസൈനിലെ എല്ലാ ലോജിക്കുകളുടെയും സമയ പ്രകടനം സാധൂകരിക്കുന്നതിന് ക്വാർട്ടസ് പ്രൈം ടൈമിംഗ് അനലൈസർ സ്റ്റാറ്റിക് ടൈമിംഗ് വിശകലനം നടത്തുന്നു.
Example 1. 50/50 ഡ്യൂട്ടി സൈക്കിളും 16 MHz J ഉം ഉള്ള അടിസ്ഥാന 100 MHz ക്ലോക്ക്TAG ക്ലോക്ക്
#********************************************************************* # 100MHz ക്ലോക്ക് സൃഷ്ടിക്കുക #*************************************************************************** create_clock -name {clk} -പീരിയഡ് 10 [get_ports {clk}] #*************************** 16MHz J സൃഷ്ടിക്കുകTAG ക്ലോക്ക് #***************************

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 35

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16
create_clock -name {altera_reserved_tck} -period 62.500 [get_ports {altera_reserved_tck}] set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}] ബന്ധപ്പെട്ട വിവരങ്ങൾ ക്വാർട്ടസ് പ്രൈം ടൈമിംഗ് അനലൈസർ കുക്ക്ബുക്ക്
2.4.2. റീസെറ്റ് റിക്വസ്റ്റ് ഇന്റർഫേസ്
നിയോസ് V പ്രോസസ്സറിൽ ഒരു ഓപ്ഷണൽ റീസെറ്റ് റിക്വസ്റ്റ് സൗകര്യം ഉൾപ്പെടുന്നു. റീസെറ്റ് റിക്വസ്റ്റ് സൗകര്യത്തിൽ reset_req, reset_req_ack സിഗ്നലുകൾ അടങ്ങിയിരിക്കുന്നു.
പ്ലാറ്റ്‌ഫോം ഡിസൈനറിൽ റീസെറ്റ് അഭ്യർത്ഥന പ്രവർത്തനക്ഷമമാക്കാൻ: 1. നിയോസ് V പ്രോസസർ ഐപി പാരാമീറ്റർ എഡിറ്റർ സമാരംഭിക്കുക. 2. യൂസ് റീസെറ്റ് അഭ്യർത്ഥന ക്രമീകരണത്തിൽ, ആഡ് റീസെറ്റ് അഭ്യർത്ഥന ഇന്റർഫേസ് ഓണാക്കുക.
ഓപ്ഷൻ.
ചിത്രം 24. നിയോസ് V പ്രോസസ്സർ റീസെറ്റ് അഭ്യർത്ഥന പ്രാപ്തമാക്കുക
reset_req സിഗ്നൽ ഒരു ഇന്ററപ്റ്റ് പോലെ പ്രവർത്തിക്കുന്നു. നിങ്ങൾ reset_req ഉറപ്പിക്കുമ്പോൾ, നിങ്ങൾ കോറിലേക്ക് റീസെറ്റ് ചെയ്യാൻ അഭ്യർത്ഥിക്കുന്നു. ഏതെങ്കിലും കുടിശ്ശികയുള്ള ബസ് ഇടപാട് അതിന്റെ പ്രവർത്തനം പൂർത്തിയാകുന്നതുവരെ കോർ കാത്തിരിക്കുന്നു. ഉദാഹരണത്തിന്ampഅതായത്, ഒരു മെമ്മറി ആക്‌സസ് ഇടപാട് തീർപ്പാക്കാത്ത സാഹചര്യത്തിൽ, കോർ ഒരു പൂർണ്ണമായ പ്രതികരണത്തിനായി കാത്തിരിക്കുന്നു. അതുപോലെ, കോർ ഏതൊരു തീർപ്പാക്കാത്ത നിർദ്ദേശ പ്രതികരണവും സ്വീകരിക്കുന്നു, പക്ഷേ reset_req സിഗ്നൽ ലഭിച്ചതിനുശേഷം ഒരു നിർദ്ദേശ അഭ്യർത്ഥന നൽകുന്നില്ല.
റീസെറ്റ് പ്രവർത്തനത്തിൽ ഇനിപ്പറയുന്ന ഫ്ലോ ഉൾപ്പെടുന്നു: 1. എല്ലാ ശേഷിക്കുന്ന പ്രവർത്തനങ്ങളും പൂർത്തിയാക്കുക 2. ആന്തരിക പൈപ്പ്‌ലൈൻ ഫ്ലഷ് ചെയ്യുക 3. പ്രോഗ്രാം കൗണ്ടർ റീസെറ്റ് വെക്റ്ററിലേക്ക് സജ്ജമാക്കുക 4. കോർ റീസെറ്റ് ചെയ്യുക മുഴുവൻ റീസെറ്റ് പ്രവർത്തനത്തിനും കുറച്ച് ക്ലോക്ക് സൈക്കിളുകൾ എടുക്കും. കോർ റീസെറ്റ് പ്രവർത്തനം വിജയകരമായി പൂർത്തിയായി എന്ന് സൂചിപ്പിക്കുന്ന reset_req_ack ഉറപ്പിക്കുന്നത് വരെ reset_req ഉറപ്പിച്ചിരിക്കണം. അങ്ങനെ ചെയ്യുന്നതിൽ പരാജയപ്പെടുന്നത് കോറിന്റെ അവസ്ഥ നിർണ്ണായകമല്ലാതാക്കുന്നു.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 36

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

2. ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറും പ്ലാറ്റ്‌ഫോം ഡിസൈനറുമായ നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ 726952 | 2025.07.16
2.4.2.1. സാധാരണ ഉപയോഗ കേസുകൾ
· സിസ്റ്റത്തിലെ മറ്റ് FPGA ഹോസ്റ്റുകൾ Nios V പ്രൊസസർ ബൂട്ട് മെമ്മറി ആരംഭിക്കുന്നതുവരെ, Nios V പ്രൊസസർ കോർ അതിന്റെ റീസെറ്റ് വെക്റ്ററിൽ നിന്ന് പ്രോഗ്രാം എക്സിക്യൂഷൻ ആരംഭിക്കുന്നത് തടയാൻ, പവർ-ഓണിൽ നിന്ന് reset_req സിഗ്നൽ നിങ്ങൾക്ക് ഉറപ്പിക്കാം. ഈ സാഹചര്യത്തിൽ, മുഴുവൻ സബ്സിസ്റ്റത്തിനും ഒരു ക്ലീൻ ഹാർഡ്‌വെയർ റീസെറ്റ് അനുഭവിക്കാൻ കഴിയും. മറ്റ് FPGA ഹോസ്റ്റുകൾ പ്രോസസർ ബൂട്ട് മെമ്മറി ആരംഭിക്കുന്നതുവരെ Nios V പ്രൊസസർ ഒരു റീസെറ്റ് അഭ്യർത്ഥന അവസ്ഥയിൽ അനിശ്ചിതമായി തടഞ്ഞുവയ്ക്കുന്നു.
· സിസ്റ്റത്തിന്റെ ബാക്കി ഭാഗങ്ങൾ തടസ്സപ്പെടുത്താതെ നിങ്ങൾ Nios V പ്രോസസർ കോർ പുനഃസജ്ജമാക്കേണ്ട ഒരു സിസ്റ്റത്തിൽ, കോറിന്റെ നിലവിലെ പ്രവർത്തനം പൂർണ്ണമായും നിർത്തുന്നതിന് reset_req സിഗ്നൽ നിങ്ങൾക്ക് ഉറപ്പിക്കാം, കൂടാതെ സിസ്റ്റം reset_req_ack സിഗ്നൽ പുറത്തിറക്കിക്കഴിഞ്ഞാൽ റീസെറ്റ് വെക്റ്ററിൽ നിന്ന് പ്രോസസർ പുനരാരംഭിക്കുകയും ചെയ്യാം.
· താഴെപ്പറയുന്ന ജോലികൾ എളുപ്പത്തിൽ നടപ്പിലാക്കുന്നതിന് ഒരു ബാഹ്യ ഹോസ്റ്റിന് റീസെറ്റ് അഭ്യർത്ഥന ഇന്റർഫേസ് ഉപയോഗിക്കാൻ കഴിയും:
— നിലവിലുള്ള നിയോസ് V പ്രൊസസർ പ്രോഗ്രാം നിർത്തുക.
— Nios V പ്രൊസസ്സർ ബൂട്ട് മെമ്മറിയിലേക്ക് ഒരു പുതിയ പ്രോഗ്രാം ലോഡ് ചെയ്യുക.
— പുതിയ പ്രോഗ്രാം പ്രവർത്തിപ്പിക്കാൻ പ്രോസസ്സറിനെ അനുവദിക്കുക.
reset_req_ack സിഗ്നലിന്റെ അവസ്ഥ നിരീക്ഷിക്കുന്നതിന് ഒരു ടൈംഔട്ട് സംവിധാനം നടപ്പിലാക്കാൻ ആൾട്ടേര നിങ്ങളെ ശുപാർശ ചെയ്യുന്നു. Nios V പ്രോസസർ കോർ അനന്തമായ കാത്തിരിപ്പ് അവസ്ഥയിലേക്ക് വീഴുകയും അജ്ഞാതമായ ഒരു കാരണത്താൽ നിലയ്ക്കുകയും ചെയ്താൽ, reset_req_ack അനിശ്ചിതമായി ഉറപ്പിക്കാൻ കഴിയില്ല. ടൈംഔട്ട് സംവിധാനം നിങ്ങളെ ഇനിപ്പറയുന്നവ ചെയ്യാൻ പ്രാപ്തമാക്കുന്നു:
· ഒരു വീണ്ടെടുക്കൽ സമയപരിധി കാലയളവ് നിർവചിക്കുകയും സിസ്റ്റം ലെവൽ റീസെറ്റ് ഉപയോഗിച്ച് സിസ്റ്റം വീണ്ടെടുക്കൽ നടത്തുകയും ചെയ്യുക.
· ഒരു ഹാർഡ്‌വെയർ ലെവൽ റീസെറ്റ് നടത്തുക.
2.4.3. റിലീസ് ഐപി പുനഃസജ്ജമാക്കുക
ആൾട്ടേര എസ്ഡിഎം അധിഷ്ഠിത ഉപകരണങ്ങൾ ഒരു സമാന്തര, സെക്ടർ അധിഷ്ഠിത ആർക്കിടെക്ചർ ഉപയോഗിക്കുന്നു, അത് കോർ ഫാബ്രിക് ലോജിക്ക് ഒന്നിലധികം സെക്ടറുകളിലുടനീളം വിതരണം ചെയ്യുന്നു. റീസെറ്റ് സർക്യൂട്ടിലേക്കുള്ള പ്രാരംഭ ഇൻപുട്ടുകളിൽ ഒന്നായി റീസെറ്റ് റിലീസ് ആൾട്ടേര എഫ്‌പി‌ജി‌എ ഐപി ഉപയോഗിക്കാൻ ആൾട്ടേര നിങ്ങളെ ശുപാർശ ചെയ്യുന്നു. ഇന്റൽ® എസ്ഡിഎം അധിഷ്ഠിത ഉപകരണങ്ങളിൽ സ്ട്രാറ്റിക്സ്® 10, അജിലെക്സ് ™ ഉപകരണങ്ങൾ എന്നിവ ഉൾപ്പെടുന്നു. കൺട്രോൾ-ബ്ലോക്ക് അധിഷ്ഠിത ഉപകരണങ്ങളെ ഈ ആവശ്യകത ബാധിക്കില്ല.
ബന്ധപ്പെട്ട വിവരങ്ങൾ
AN 891: റീസെറ്റ് റിലീസ് Altera FPGA IP ഉപയോഗിക്കുന്നു
2.5. ഒരു ഡിഫോൾട്ട് ഏജന്റിനെ നിയോഗിക്കുന്നു
പിശക് പ്രതികരണ ഡിഫോൾട്ട് ഏജന്റായി പ്രവർത്തിക്കുന്ന ഒരു ഡിഫോൾട്ട് ഏജന്റിനെ വ്യക്തമാക്കാൻ പ്ലാറ്റ്‌ഫോം ഡിസൈനർ നിങ്ങളെ അനുവദിക്കുന്നു. വിലാസ മാപ്പിലേക്ക് ഡീകോഡ് ചെയ്യാത്ത ആക്‌സസുകൾ ശ്രമിക്കുന്ന ഹോസ്റ്റുകൾക്ക് നിങ്ങൾ നിർദ്ദേശിക്കുന്ന ഡിഫോൾട്ട് ഏജന്റ് ഒരു പിശക് പ്രതികരണ സേവനം നൽകുന്നു.
ഡീകോഡ് ചെയ്യാത്ത ഒരു ഇവന്റിനെ പ്രേരിപ്പിക്കുന്ന സാഹചര്യങ്ങൾ ഇവയാണ്:
· ബസ് ഇടപാട് സുരക്ഷാ സംസ്ഥാന ലംഘനം
· നിർവചിക്കാത്ത മെമ്മറി മേഖലയിലേക്കുള്ള ഇടപാട് ആക്‌സസ്
· ഒഴിവാക്കൽ ഇവന്റ് മുതലായവ.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 37

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16

നിർവചിക്കാത്ത ഇടപാട് ഡിഫോൾട്ട് ഏജന്റിലേക്ക് റീറൂട്ട് ചെയ്യപ്പെടുകയും തുടർന്ന് നിയോസ് V പ്രൊസസറിലേക്ക് ഒരു പിശക് പ്രതികരണത്തോടെ പ്രതികരിക്കുകയും ചെയ്യുന്ന അത്തരം ഇവന്റുകൾ കൈകാര്യം ചെയ്യാൻ ഒരു ഡിഫോൾട്ട് ഏജന്റിനെ നിയോഗിക്കണം.
ബന്ധപ്പെട്ട വിവരങ്ങൾ
· ക്വാർട്ടസ് പ്രൈം പ്രോ പതിപ്പ് ഉപയോക്തൃ ഗൈഡ്: പ്ലാറ്റ്‌ഫോം ഡിസൈനർ. ഒരു ഡിഫോൾട്ട് ഏജന്റിനെ നിയോഗിക്കുന്നു
· ക്വാർട്ടസ് പ്രൈം പ്രോ പതിപ്പ് ഉപയോക്തൃ ഗൈഡ്: പ്ലാറ്റ്‌ഫോം ഡിസൈനർ. പിശക് പ്രതികരണം സ്ലേവ് ആൾട്ടേര FPGA IP
· ഗിത്തബ് – ക്യുസിസ്-നുള്ള സപ്ലിമെന്റൽ റീസെറ്റ് ഘടകങ്ങൾ

2.6. പ്രിന്റിംഗിനായി ഒരു UART ഏജന്റിനെ നിയോഗിക്കുന്നു
സോഫ്റ്റ്‌വെയർ ആപ്ലിക്കേഷൻ ഡീബഗ് ചെയ്യുന്നതിനും നിങ്ങളുടെ സിസ്റ്റത്തിന്റെ നില നിരീക്ഷിക്കുന്നതിനും പ്രിന്റിംഗ് ഉപയോഗപ്രദമാണ്. സ്റ്റാർട്ടപ്പ് സന്ദേശം, പിശക് സന്ദേശം, സോഫ്റ്റ്‌വെയർ ആപ്ലിക്കേഷന്റെ നിർവ്വഹണ പുരോഗതി തുടങ്ങിയ അടിസ്ഥാന വിവരങ്ങൾ പ്രിന്റ് ചെയ്യാൻ ആൾട്ടേര ശുപാർശ ചെയ്യുന്നു.
താഴെ പറയുന്ന സാഹചര്യങ്ങളിൽ printf() ലൈബ്രറി ഫംഗ്ഷൻ ഉപയോഗിക്കുന്നത് ഒഴിവാക്കുക: · ഒരു ഹോസ്റ്റും ഔട്ട്‌പുട്ട് വായിക്കുന്നില്ലെങ്കിൽ printf() ലൈബ്രറി ആപ്ലിക്കേഷൻ സ്തംഭിപ്പിക്കും.
ഇത് J-ക്ക് ബാധകമാണ്TAG UART മാത്രം. · printf() ലൈബ്രറി വലിയ അളവിൽ പ്രോഗ്രാം മെമ്മറി ഉപയോഗിക്കുന്നു.

2.6.1. ജെ വഴി സ്റ്റാളുകൾ തടയൽTAG UART

പട്ടിക 23. പരമ്പരാഗത UART ഉം J ഉം തമ്മിലുള്ള വ്യത്യാസങ്ങൾTAG UART

UART തരം പരമ്പരാഗത UART

വിവരണം
ഒരു ബാഹ്യ ഹോസ്റ്റ് കേൾക്കുന്നുണ്ടോ ഇല്ലയോ എന്നത് പരിഗണിക്കാതെ സീരിയൽ ഡാറ്റ കൈമാറുന്നു. ഒരു ഹോസ്റ്റും സീരിയൽ ഡാറ്റ വായിച്ചില്ലെങ്കിൽ, ഡാറ്റ നഷ്ടപ്പെടും.

JTAG UART

ട്രാൻസ്മിറ്റ് ചെയ്ത ഡാറ്റ ഒരു ഔട്ട്പുട്ട് ബഫറിലേക്ക് എഴുതുകയും അത് ശൂന്യമാക്കുന്നതിന് ബഫറിൽ നിന്ന് വായിക്കാൻ ഒരു ബാഹ്യ ഹോസ്റ്റിനെ ആശ്രയിക്കുകയും ചെയ്യുന്നു.

ജെTAG ഔട്ട്‌പുട്ട് ബഫർ നിറയുമ്പോൾ UART ഡ്രൈവർ കാത്തിരിക്കുന്നു. JTAG കൂടുതൽ ട്രാൻസ്മിറ്റ് ഡാറ്റ എഴുതുന്നതിനുമുമ്പ്, ഔട്ട്‌പുട്ട് ബഫറിൽ നിന്ന് ഒരു ബാഹ്യ ഹോസ്റ്റ് വായിക്കുന്നതിനായി UART ഡ്രൈവർ കാത്തിരിക്കുന്നു. ഈ പ്രക്രിയ ട്രാൻസ്മിറ്റ് ഡാറ്റ നഷ്ടപ്പെടുന്നത് തടയുന്നു.
എന്നിരുന്നാലും, സിസ്റ്റം ഡീബഗ്ഗിംഗ് ആവശ്യമില്ലാത്തപ്പോൾ, ഉദാഹരണത്തിന് പ്രൊഡക്ഷൻ സമയത്ത്, J-യുമായി ബന്ധിപ്പിച്ചിരിക്കുന്ന ഒരു ഹോസ്റ്റ് പിസി ഇല്ലാതെ എംബഡഡ് സിസ്റ്റങ്ങൾ വിന്യസിക്കുന്നു.TAG UART. സിസ്റ്റം J തിരഞ്ഞെടുത്താൽTAG UART ഏജന്റ് എന്ന നിലയിൽ, ബാഹ്യ ഹോസ്റ്റുകളൊന്നും ബന്ധിപ്പിച്ചിട്ടില്ലാത്തതിനാൽ സിസ്റ്റം സ്തംഭിക്കാൻ ഇത് കാരണമായേക്കാം.
ജെ വഴി തടസ്സപ്പെടുന്നത് തടയാൻTAG UART, ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ പ്രയോഗിക്കുക:

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 38

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16

പട്ടിക 24. ജെ എഴുതിയ സ്റ്റാളിംഗ് തടയൽTAG UART

ഓപ്ഷനുകൾ
UART ഇന്റർഫേസും ഡ്രൈവറും ഇല്ല.
മറ്റ് UART ഇന്റർഫേസും ഡ്രൈവറും ഉപയോഗിക്കുക
പ്രിസർവ് ജെTAG UART ഇന്റർഫേസ് (ഡ്രൈവർ ഇല്ലാതെ)

ഹാർഡ്‌വെയർ വികസന സമയത്ത് (പ്ലാറ്റ്‌ഫോം ഡിസൈനറിൽ)

സോഫ്റ്റ്‌വെയർ വികസന സമയത്ത് (ബോർഡ് സപ്പോർട്ട് പാക്കേജ് എഡിറ്ററിൽ)

ജെ നീക്കം ചെയ്യുകTAG സിസ്റ്റത്തിൽ നിന്നുള്ള UART

hal.stdin, hal.stdout, hal.stderr എന്നിവ ഒന്നുമില്ല എന്ന് കോൺഫിഗർ ചെയ്യുക.

ജെ മാറ്റിസ്ഥാപിക്കുകTAG മറ്റ് സോഫ്റ്റ് കോൺഫിഗർ ചെയ്യാവുന്ന hal.stdin, hal.stdout, hal.stderr എന്നിവയുമായുള്ള UART

UART ഐപി

മറ്റ് സോഫ്റ്റ് UART IP ഉപയോഗിച്ച്.

പ്രിസർവ് ജെTAG സിസ്റ്റത്തിലെ UART

· ബോർഡ് സപ്പോർട്ട് പാക്കേജ് എഡിറ്ററിൽ hal.stdin, hal.stdout, hal.stderr എന്നിവ None ആയി കോൺഫിഗർ ചെയ്യുക.
· ജെ പ്രവർത്തനരഹിതമാക്കുകTAG BSP ഡ്രൈവർ ടാബിൽ UART ഡ്രൈവർ.

2.7. ജെTAG സിഗ്നലുകൾ
നിയോസ് V പ്രൊസസർ ഡീബഗ് മൊഡ്യൂൾ J ഉപയോഗിക്കുന്നുTAG സോഫ്റ്റ്‌വെയർ ELF ഡൗൺലോഡിനും സോഫ്റ്റ്‌വെയർ ഡീബഗ്ഗിംഗിനുമുള്ള ഇന്റർഫേസ്. നിങ്ങൾ J ഉപയോഗിച്ച് നിങ്ങളുടെ ഡിസൈൻ ഡീബഗ് ചെയ്യുമ്പോൾTAG ഇന്റർഫേസ്, ജെTAG സിഗ്നലുകൾ TCK, TMS, TDI, TDO എന്നിവ ഡിസൈനിന്റെ ഭാഗമായി നടപ്പിലാക്കുന്നു. J വ്യക്തമാക്കുന്നത്TAG എല്ലാ നിയോസ് V പ്രൊസസർ സിസ്റ്റത്തിലും സിഗ്നൽ നിയന്ത്രണങ്ങൾ ഒരു പ്രധാന സിസ്റ്റം ഡിസൈൻ പരിഗണനയാണ്, കൃത്യതയ്ക്കും നിർണായക സ്വഭാവത്തിനും ഇത് ആവശ്യമാണ്.
ഏതൊരു ഡിസൈനിന്റെയും സിസ്റ്റം ക്ലോക്ക് ഫ്രീക്വൻസി J യുടെ കുറഞ്ഞത് നാലിരട്ടിയായിരിക്കണമെന്ന് ആൾട്ടേര ശുപാർശ ചെയ്യുന്നുTAG ഓൺ-ചിപ്പ് ഇൻസ്ട്രുമെന്റേഷൻ (OCI) കോർ ശരിയായി പ്രവർത്തിക്കുന്നുണ്ടെന്ന് ഉറപ്പാക്കാൻ ക്ലോക്ക് ഫ്രീക്വൻസി.
ബന്ധപ്പെട്ട വിവരങ്ങൾ · ക്വാർട്ടസ്® പ്രൈം ടൈമിംഗ് അനലൈസർ പാചകക്കുറിപ്പ്: ജെTAG സിഗ്നലുകൾ
ജെയെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക്TAG സമയ നിയന്ത്രണ മാർഗ്ഗനിർദ്ദേശങ്ങൾ. · KDB: പൈപ്പ്ലൈൻ ചെയ്യാത്ത Nios® V/m പ്രോസസ്സറിൽ niosv-ഡൗൺലോഡ് പരാജയപ്പെടുന്നത് എന്തുകൊണ്ട്?
JTAG ഫ്രീക്വൻസി 24MHz അല്ലെങ്കിൽ 16Mhz?
2.8. പ്ലാറ്റ്‌ഫോം ഡിസൈനർ സിസ്റ്റം പ്രകടനം ഒപ്റ്റിമൈസ് ചെയ്യുന്നു
ആൾട്ടേര എഫ്‌പി‌ജി‌എ ഡിസൈനുകൾക്കായി സിസ്റ്റം ഇന്റർകണക്റ്റിന്റെ പ്രകടനം ഒപ്റ്റിമൈസ് ചെയ്യുന്നതിനുള്ള ഉപകരണങ്ങൾ പ്ലാറ്റ്‌ഫോം ഡിസൈനർ നൽകുന്നു.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 39

2. ക്വാർടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ, പ്ലാറ്റ്‌ഫോം ഡിസൈനർ എന്നിവയുള്ള നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ.
726952 | 2025.07.16
ചിത്രം 25. ഒപ്റ്റിമൈസേഷൻ ഉദാampലെസ്

മുൻampചിത്രത്തിൽ കാണിച്ചിരിക്കുന്ന le ഇനിപ്പറയുന്ന ഘട്ടങ്ങൾ കാണിക്കുന്നു:
1. പൈപ്പ്‌ലൈൻ ബ്രിഡ്ജ് ചേർക്കുന്നതിലൂടെ നിർണായക പാതകളെ ലഘൂകരിക്കുന്നു: a. ഇൻസ്ട്രക്ഷൻ മാനേജർക്കും അതിന്റെ ഏജന്റുമാർക്കും ഇടയിൽ b. ഡാറ്റ മാനേജർക്കും അതിന്റെ ഏജന്റുമാർക്കും ഇടയിൽ
2. ട്രൂ ഡ്യുവൽ പോർട്ട് ഓൺ-ചിപ്പ് റാം പ്രയോഗിക്കുക, ഓരോ പോർട്ടും യഥാക്രമം ഇൻസ്ട്രക്ഷൻ മാനേജർക്കും ഡാറ്റ മാനേജർക്കും വേണ്ടി സമർപ്പിച്ചിരിക്കുന്നു.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 40

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

2. ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറും പ്ലാറ്റ്‌ഫോം ഡിസൈനറുമായ നിയോസ് വി പ്രോസസർ ഹാർഡ്‌വെയർ സിസ്റ്റം ഡിസൈൻ 726952 | 2025.07.16
ലഭ്യമായ ഉപകരണങ്ങൾ പരമാവധി പ്രയോജനപ്പെടുത്തുന്നതിനുള്ള സാങ്കേതിക വിദ്യകളും ഓരോ നടപ്പാക്കലിന്റെയും ട്രേഡ്-ഓഫുകളും അവതരിപ്പിക്കുന്ന താഴെയുള്ള അനുബന്ധ ലിങ്കുകൾ പരിശോധിക്കുക.
ബന്ധപ്പെട്ട വിവരങ്ങൾ · ക്വാർട്ടസ്® പ്രൈം പ്രോ പതിപ്പ് ഉപയോക്തൃ ഗൈഡ്: പ്ലാറ്റ്‌ഫോം ഡിസൈനർ
കൂടുതൽ വിവരങ്ങൾക്ക് ഒപ്റ്റിമൈസ് ചെയ്യുന്ന പ്ലാറ്റ്‌ഫോം ഡിസൈനർ സിസ്റ്റം പെർഫോമൻസ് എന്ന വിഷയം കാണുക. · ക്വാർട്ടസ്® പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ ഉപയോക്തൃ ഗൈഡ്: പ്ലാറ്റ്‌ഫോം ഡിസൈനർ കൂടുതൽ വിവരങ്ങൾക്ക് ഒപ്റ്റിമൈസ് ചെയ്യുന്ന പ്ലാറ്റ്‌ഫോം ഡിസൈനർ സിസ്റ്റം പെർഫോമൻസ് എന്ന വിഷയം കാണുക.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 41

726952 | 2025.07.16 ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

3. നിയോസ് വി പ്രൊസസർ സോഫ്റ്റ്‌വെയർ സിസ്റ്റം ഡിസൈൻ
ഈ അദ്ധ്യായം നിയോസ് V പ്രൊസസർ സോഫ്റ്റ്‌വെയർ വികസന പ്രവാഹത്തെയും നിങ്ങളുടെ എംബഡഡ് ഡിസൈൻ സിസ്റ്റം വികസിപ്പിക്കുന്നതിൽ നിങ്ങൾക്ക് ഉപയോഗിക്കാൻ കഴിയുന്ന സോഫ്റ്റ്‌വെയർ ഉപകരണങ്ങളെയും കുറിച്ച് വിവരിക്കുന്നു. ഉള്ളടക്കം ഒരു ഓവർ ആയി വർത്തിക്കുന്നു.view ഒരു നിയോസ് വി പ്രൊസസർ സോഫ്റ്റ്‌വെയർ സിസ്റ്റം വികസിപ്പിക്കുന്നതിന് മുമ്പ്.
ചിത്രം 26. സോഫ്റ്റ്‌വെയർ ഡിസൈൻ ഫ്ലോ
ആരംഭിക്കുക

BSP എഡിറ്റർ ഉപയോഗിച്ച് പ്ലാറ്റ്‌ഫോം ഡിസൈനറിൽ BSP സൃഷ്ടിക്കുക.

നിയോസ് V കമാൻഡ് ഷെൽ ഉപയോഗിച്ച് BSP സൃഷ്ടിക്കുക.
ആപ്ലിക്കേഷൻ CMake ബിൽഡ് സൃഷ്ടിക്കുക File നിയോസ് V കമാൻഡ് ഷെൽ ഉപയോഗിക്കുന്നു

കുറിപ്പ്:

ബിഎസ്പിയും ആപ്ലിക്കേഷൻ സിമെയ്ക്ക് ബിൽഡും ഇറക്കുമതി ചെയ്യുക File
നിയോസ് V പ്രോസസർ ആപ്ലിക്കേഷൻ നിർമ്മിക്കുന്നത്
ഇന്റൽ FPGA-യ്‌ക്കുള്ള റിസ്‌ക്ഫ്രീ IDE

ഏതെങ്കിലും ഉപയോഗിച്ച് നിയോസ് വി പ്രോസസർ ആപ്ലിക്കേഷൻ നിർമ്മിക്കുക
കമാൻഡ്-ലൈൻ സോഴ്‌സ് കോഡ് എഡിറ്റർ, CMake, Make
കമാൻഡുകൾ
അവസാനിക്കുന്നു

സോഫ്റ്റ്‌വെയർ വികസനത്തിനും ഡീബഗ്ഗിംഗിനും ഒരു ആൾട്ടേര FPGA ഡെവലപ്‌മെന്റ് കിറ്റ് അല്ലെങ്കിൽ ഒരു കസ്റ്റം പ്രോട്ടോടൈപ്പ് ബോർഡ് ഉപയോഗിക്കാൻ ആൾട്ടേര ശുപാർശ ചെയ്യുന്നു. നിങ്ങളുടെ സോഫ്റ്റ്‌വെയർ ഒരു യഥാർത്ഥ ബോർഡിൽ പ്രവർത്തിക്കുമ്പോൾ മാത്രമേ പല പെരിഫെറലുകളും സിസ്റ്റം-ലെവൽ സവിശേഷതകളും ലഭ്യമാകൂ.

© ആൾട്ടേര കോർപ്പറേഷൻ. ആൾട്ടേര, ആൾട്ടേര ലോഗോ, `a' ലോഗോ, മറ്റ് ആൾട്ടേര മാർക്കുകൾ എന്നിവ ആൾട്ടേര കോർപ്പറേഷന്റെ വ്യാപാരമുദ്രകളാണ്. ഏതൊരു ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും ഏത് സമയത്തും അറിയിപ്പ് കൂടാതെ മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം ആൾട്ടേരയിൽ നിക്ഷിപ്തമാണ്. ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങൾ, ഉൽപ്പന്നം അല്ലെങ്കിൽ സേവനം എന്നിവയുടെ പ്രയോഗത്തിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന യാതൊരു ഉത്തരവാദിത്തമോ ബാധ്യതയോ ആൾട്ടേര ഏറ്റെടുക്കുന്നില്ല, ആൾട്ടേര രേഖാമൂലം വ്യക്തമായി സമ്മതിച്ചതൊഴിച്ചാൽ. പ്രസിദ്ധീകരിച്ച ഏതെങ്കിലും വിവരങ്ങളെ ആശ്രയിക്കുന്നതിനും ഉൽപ്പന്നങ്ങൾക്കോ ​​സേവനങ്ങൾക്കോ ​​വേണ്ടി ഓർഡർ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സ്പെസിഫിക്കേഷനുകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ആൾട്ടേര ഉപഭോക്താക്കളോട് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.

3. നിയോസ് വി പ്രോസസർ സോഫ്റ്റ്‌വെയർ സിസ്റ്റം ഡിസൈൻ 726952 | 2025.07.16
3.1. നിയോസ് V പ്രൊസസർ സോഫ്റ്റ്‌വെയർ ഡെവലപ്‌മെന്റ് ഫ്ലോ
3.1.1. ബോർഡ് സപ്പോർട്ട് പാക്കേജ് പ്രോജക്റ്റ്
നിയോസ് വി ബോർഡ് സപ്പോർട്ട് പാക്കേജ് (ബിഎസ്പി) പ്രോജക്റ്റ് എന്നത് സിസ്റ്റം-നിർദ്ദിഷ്ട സപ്പോർട്ട് കോഡ് ഉൾക്കൊള്ളുന്ന ഒരു പ്രത്യേക ലൈബ്രറിയാണ്. ഒരു നിയോസ് വി പ്രൊസസർ ഹാർഡ്‌വെയർ സിസ്റ്റത്തിലെ ഒരു പ്രൊസസറിനായി ഇഷ്ടാനുസൃതമാക്കിയ ഒരു സോഫ്റ്റ്‌വെയർ റൺടൈം എൻവയോൺമെന്റ് ഒരു ബിഎസ്പി നൽകുന്നു.
ബിഎസ്പിയുടെ സ്വഭാവം നിയന്ത്രിക്കുന്ന ക്രമീകരണങ്ങൾ പരിഷ്കരിക്കുന്നതിന് ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ നിയോസ് വി ബോർഡ് സപ്പോർട്ട് പാക്കേജ് എഡിറ്ററും niosv-bsp യൂട്ടിലിറ്റി ടൂളുകളും നൽകുന്നു.
ഒരു BSP-യിൽ ഇനിപ്പറയുന്ന ഘടകങ്ങൾ അടങ്ങിയിരിക്കുന്നു: · ഹാർഡ്‌വെയർ അബ്‌സ്‌ട്രാക്ഷൻ ലെയർ · ഉപകരണ ഡ്രൈവറുകൾ · ഓപ്ഷണൽ സോഫ്റ്റ്‌വെയർ പാക്കേജുകൾ · ഓപ്ഷണൽ റിയൽ-ടൈം ഓപ്പറേറ്റിംഗ് സിസ്റ്റം
3.1.2. ആപ്ലിക്കേഷൻ പ്രോജക്റ്റ്
ഒരു Nios VC/C++ ആപ്ലിക്കേഷൻ പ്രോജക്റ്റിന് ഇനിപ്പറയുന്ന സവിശേഷതകൾ ഉണ്ട്: · സോഴ്‌സ് കോഡിന്റെ ഒരു ശേഖരവും ഒരു CMakeLists.txt ഉം അടങ്ങിയിരിക്കുന്നു.
— CMakeLists.txt സോഴ്‌സ് കോഡ് കംപൈൽ ചെയ്‌ത് ഒരു .elf സൃഷ്ടിക്കാൻ ഒരു BSPയുമായും ഒന്നോ അതിലധികമോ ഓപ്‌ഷണൽ ലൈബ്രറികളുമായും ലിങ്ക് ചെയ്യുന്നു. file
· ഉറവിടങ്ങളിൽ ഒന്ന് files-ൽ main() എന്ന ഫംഗ്ഷൻ അടങ്ങിയിരിക്കുന്നു. · ലൈബ്രറികളിലും BSP-കളിലും ഫംഗ്ഷനുകളെ വിളിക്കുന്ന കോഡ് ഉൾപ്പെടുന്നു.
CMakeLists.txt ആപ്ലിക്കേഷൻ സൃഷ്ടിക്കുന്നതിനായി ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ യൂട്ടിലിറ്റി ടൂളുകളിൽ ആൾട്ടേര niosv-ആപ്പ് യൂട്ടിലിറ്റി ടൂളും, എക്ലിപ്സ് അധിഷ്ഠിത പരിതസ്ഥിതിയിൽ സോഴ്‌സ് കോഡ് പരിഷ്‌ക്കരിക്കുന്നതിനായി ആൾട്ടേര FPGA-കൾക്കായി RiscFree IDE-യും നൽകുന്നു.
3.2. ആൾട്ടേര FPGA എംബഡഡ് ഡെവലപ്‌മെന്റ് ടൂളുകൾ
സോഫ്റ്റ്‌വെയർ വികസനത്തിനായി നിയോസ് V പ്രോസസർ ഇനിപ്പറയുന്ന ഉപകരണങ്ങളെ പിന്തുണയ്ക്കുന്നു: · ഗ്രാഫിക്കൽ യൂസർ ഇന്റർഫേസ് (GUI) - ലഭ്യമായ ഗ്രാഫിക്കൽ വികസന ഉപകരണങ്ങൾ
വിൻഡോസ്*, ലിനക്സ്* ഓപ്പറേറ്റിംഗ് സിസ്റ്റങ്ങൾ (OS). — Nios V ബോർഡ് സപ്പോർട്ട് പാക്കേജ് എഡിറ്റർ (Nios V BSP എഡിറ്റർ) — Altera FPGA-കൾക്കായുള്ള Ashling RiscFree IDE · കമാൻഡ്-ലൈൻ ടൂളുകൾ (CLI) – Nios V കമാൻഡ് ഷെല്ലിൽ നിന്ന് ആരംഭിക്കുന്ന വികസന ഉപകരണങ്ങൾ. കമാൻഡ് ലൈനിൽ നിന്ന് ആക്‌സസ് ചെയ്യാവുന്ന സഹായത്തിന്റെ രൂപത്തിൽ ഓരോ ഉപകരണവും അതിന്റേതായ ഡോക്യുമെന്റേഷൻ നൽകുന്നു. Nios V കമാൻഡ് ഷെൽ തുറന്ന് ഇനിപ്പറയുന്ന കമാൻഡ് ടൈപ്പ് ചെയ്യുക: –സഹായിക്കുക view സഹായ മെനു. — Nios V യൂട്ടിലിറ്റീസ് ടൂളുകൾ — File ഫോർമാറ്റ് കൺവേർഷൻ ടൂളുകൾ — മറ്റ് യൂട്ടിലിറ്റി ടൂളുകൾ

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 43

3. നിയോസ് വി പ്രോസസർ സോഫ്റ്റ്‌വെയർ സിസ്റ്റം ഡിസൈൻ 726952 | 2025.07.16

പട്ടിക 25. GUI ഉപകരണങ്ങളുടെയും കമാൻഡ്-ലൈൻ ഉപകരണങ്ങളുടെയും ടാസ്‌ക്കുകളുടെ സംഗ്രഹം

ടാസ്ക്

GUI ടൂൾ

കമാൻഡ്-ലൈൻ ഉപകരണം

ഒരു ബിഎസ്പി സൃഷ്ടിക്കുന്നു

നിയോസ് വി ബിഎസ്പി എഡിറ്റർ

· ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ സോഫ്റ്റ്‌വെയറിൽ: niosv-bsp -c -s=<.qsys file> -t= [ഓപ്ഷനുകൾ] settings.bsp
· ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്‌വെയറിൽ: niosv-bsp -c -s=<.sopcinfo file> -t= [ഓപ്ഷനുകൾ] settings.bsp

നിലവിലുള്ള .bsp ഉപയോഗിച്ച് ഒരു BSP സൃഷ്ടിക്കുന്നു file
ഒരു ബിഎസ്പി അപ്ഡേറ്റ് ചെയ്യുന്നു

നിയോസ് വി ബിഎസ്പി എഡിറ്റർ നിയോസ് വി ബിഎസ്പി എഡിറ്റർ

niosv-bsp -g [ഓപ്ഷനുകൾ] settings.bsp niosv-bsp -u [ഓപ്ഷനുകൾ] settings.bsp

ഒരു ബിഎസ്പി പരിശോധിക്കുന്നു

നിയോസ് വി ബിഎസ്പി എഡിറ്റർ

നിയോസ്വ്-ബിഎസ്പി -ക്യു -ഇ= [ഓപ്ഷനുകൾ] settings.bsp

ഒരു ആപ്ലിക്കേഷൻ സൃഷ്ടിക്കുന്നു

niosv-ആപ്പ് -a= -ബി= -s= files ഡയറക്ടറി> [ഓപ്ഷനുകൾ]

ഒരു ഉപയോക്തൃ ലൈബ്രറി സൃഷ്ടിക്കുന്നു

niosv-ആപ്പ് -l= -s= files ഡയറക്ടറി -p= [ഓപ്ഷനുകൾ]

ഒരു ആപ്ലിക്കേഷൻ പരിഷ്കരിക്കുന്നു ഒരു ഉപയോക്തൃ ലൈബ്രറി പരിഷ്കരിക്കുന്നു ഒരു ആപ്ലിക്കേഷൻ നിർമ്മിക്കുന്നു

ആൾട്ടേര FPGA-കൾക്കായുള്ള RiscFree IDE
ആൾട്ടേര FPGA-കൾക്കായുള്ള RiscFree IDE
ആൾട്ടേര FPGA-കൾക്കായുള്ള RiscFree IDE

ഏതെങ്കിലും കമാൻഡ്-ലൈൻ സോഴ്‌സ് എഡിറ്റർ
ഏതെങ്കിലും കമാൻഡ്-ലൈൻ സോഴ്‌സ് എഡിറ്റർ
· ഉണ്ടാക്കുക · cmake

ഒരു ഉപയോക്തൃ ലൈബ്രറി നിർമ്മിക്കുന്നു

ആൾട്ടേര FPGA-കൾക്കായുള്ള RiscFree IDE

· ഉണ്ടാക്കുക · cmake

ഒരു ആപ്ലിക്കേഷൻ ഡൗൺലോഡ് ചെയ്യുന്നു ELF
.elf-നെ പരിവർത്തനം ചെയ്യുന്നു file

ആൾട്ടേര FPGA-കൾക്കായുള്ള RiscFree IDE

niosv-ഡൗൺലോഡ്
· എൽഫ്2ഫ്ലാഷ് · എൽഫ്2ഹെക്സ്

ബന്ധപ്പെട്ട വിവരങ്ങൾ
ആൾട്ടേര FPGA-കൾക്കായുള്ള ആഷ്ലിംഗ് റിസ്ക്ഫ്രീ ഇന്റഗ്രേറ്റഡ് ഡെവലപ്മെന്റ് എൻവയോൺമെന്റ് (IDE) ഉപയോക്തൃ ഗൈഡ്

3.2.1. നിയോസ് വി പ്രൊസസ്സർ ബോർഡ് സപ്പോർട്ട് പാക്കേജ് എഡിറ്റർ
ഇനിപ്പറയുന്ന ജോലികൾ ചെയ്യാൻ നിങ്ങൾക്ക് Nios V പ്രൊസസർ BSP എഡിറ്റർ ഉപയോഗിക്കാം: · ഒരു Nios V പ്രൊസസർ BSP പ്രോജക്റ്റ് സൃഷ്ടിക്കുക അല്ലെങ്കിൽ പരിഷ്കരിക്കുക · ക്രമീകരണങ്ങൾ, ലിങ്കർ മേഖലകൾ, സെക്ഷൻ മാപ്പിംഗുകൾ എന്നിവ എഡിറ്റ് ചെയ്യുക · സോഫ്റ്റ്‌വെയർ പാക്കേജുകളും ഉപകരണ ഡ്രൈവറുകളും തിരഞ്ഞെടുക്കുക.
BSP എഡിറ്ററിന്റെ കഴിവുകളിൽ niosv-bsp യൂട്ടിലിറ്റികളുടെ കഴിവുകളും ഉൾപ്പെടുന്നു. BSP എഡിറ്ററിൽ സൃഷ്ടിക്കുന്ന ഏതൊരു പ്രോജക്റ്റും കമാൻഡ്-ലൈൻ യൂട്ടിലിറ്റികൾ ഉപയോഗിച്ചും സൃഷ്ടിക്കാൻ കഴിയും.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 44

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

3. നിയോസ് വി പ്രോസസർ സോഫ്റ്റ്‌വെയർ സിസ്റ്റം ഡിസൈൻ 726952 | 2025.07.16

കുറിപ്പ്:

ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്‌വെയറിനായി, ബിഎസ്പി എഡിറ്റർ ജിയുഐ അഭ്യർത്ഥിക്കുന്നതിനുള്ള ഘട്ടങ്ങൾക്കായി AN 980: നിയോസ് വി പ്രോസസർ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ പിന്തുണ കാണുക.

BSP എഡിറ്റർ സമാരംഭിക്കുന്നതിന്, ഈ ഘട്ടങ്ങൾ പാലിക്കുക: 1. പ്ലാറ്റ്‌ഫോം ഡിസൈനർ തുറന്ന്, ഇതിലേക്ക് നാവിഗേറ്റ് ചെയ്യുക File മെനു.
a. നിലവിലുള്ള ഒരു BSP സജ്ജീകരണം തുറക്കാൻ file, തുറക്കുക… b. ഒരു പുതിയ BSP സൃഷ്ടിക്കാൻ, പുതിയ BSP… ക്ലിക്ക് ചെയ്യുക 2. BSP എഡിറ്റർ ടാബ് തിരഞ്ഞെടുത്ത് ഉചിതമായ വിശദാംശങ്ങൾ നൽകുക.

ചിത്രം 27. ബിഎസ്പി എഡിറ്റർ സമാരംഭിക്കുക

ബന്ധപ്പെട്ട വിവരങ്ങൾ AN 980: നിയോസ് V പ്രോസസർ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ പിന്തുണ
3.2.2. ആൾട്ടേര എഫ്പിജിഎകൾക്കുള്ള റിസ്ക്ഫ്രീ ഐഡിഇ
ആൾട്ടേര എഫ്‌പി‌ജി‌എകൾക്കായുള്ള റിസ്‌ക്ഫ്രീ ഐഡിഇ, നിയോസ് വി പ്രോസസറിനായുള്ള ഒരു എക്ലിപ്സ് അധിഷ്ഠിത ഐഡിഇ ആണ്. ഇനിപ്പറയുന്ന കാരണങ്ങളാൽ ഈ ഐഡിഇയിൽ നിയോസ് വി പ്രോസസർ സോഫ്റ്റ്‌വെയർ വികസിപ്പിക്കാൻ ആൾട്ടേര ശുപാർശ ചെയ്യുന്നു: · നിയോസ് വിയുമായി പൊരുത്തപ്പെടുന്നതിനായി സവിശേഷതകൾ വികസിപ്പിക്കുകയും പരിശോധിക്കുകയും ചെയ്യുന്നു.
പ്രോസസ്സർ ബിൽഡ് ഫ്ലോ. · ആവശ്യമായ എല്ലാ ടൂൾചെയിനുകളും സപ്പോർട്ടിംഗ് ടൂളുകളും കൊണ്ട് സജ്ജീകരിച്ചിരിക്കുന്നു, അത് നിങ്ങളെ പ്രാപ്തമാക്കുന്നു
നിയോസ് വി പ്രോസസർ വികസനം എളുപ്പത്തിൽ ആരംഭിക്കാൻ.
ബന്ധപ്പെട്ട വിവരങ്ങൾ ആൾട്ടേര FPGA-കൾക്കായുള്ള Ashling RiscFree ഇന്റഗ്രേറ്റഡ് ഡെവലപ്‌മെന്റ് എൻവയോൺമെന്റ് (IDE) ഉപയോക്തൃ ഗൈഡ്
3.2.3. നിയോസ് വി യൂട്ടിലിറ്റീസ് ടൂളുകൾ
കമാൻഡ് ലൈനിൽ ടൈപ്പ് ചെയ്തതോ സ്ക്രിപ്റ്റിൽ ഉൾച്ചേർത്തതോ ആയ കമാൻഡുകൾ ഉപയോഗിച്ച് നിങ്ങൾക്ക് നിയോസ് V പ്രോഗ്രാമുകൾ സൃഷ്ടിക്കാനും പരിഷ്കരിക്കാനും നിർമ്മിക്കാനും കഴിയും. ഈ വിഭാഗത്തിൽ വിവരിച്ചിരിക്കുന്ന നിയോസ് V കമാൻഡ്-ലൈൻ ഉപകരണങ്ങൾ /niosv/bin ഡയറക്ടറി.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 45

3. നിയോസ് വി പ്രോസസർ സോഫ്റ്റ്‌വെയർ സിസ്റ്റം ഡിസൈൻ 726952 | 2025.07.16

പട്ടിക 26. നിയോസ് വി യൂട്ടിലിറ്റീസ് ടൂളുകൾ

കമാൻഡ്-ലൈൻ ഉപകരണങ്ങൾ

സംഗ്രഹം

niosv-ആപ്പ് niosv-bsp niosv-ഡൗൺലോഡ് niosv-ഷെൽ niosv-സ്റ്റാക്ക്-റിപ്പോർട്ട്

ഒരു ആപ്ലിക്കേഷൻ പ്രോജക്റ്റ് സൃഷ്ടിക്കുന്നതിനും ക്രമീകരിക്കുന്നതിനും.
ഒരു BSP ക്രമീകരണങ്ങൾ സൃഷ്ടിക്കുന്നതിനോ അപ്ഡേറ്റ് ചെയ്യുന്നതിനോ file ബിഎസ്പി സൃഷ്ടിക്കുക fileELF ഡൗൺലോഡ് ചെയ്യാൻ file ഒരു Nios® V പ്രോസസറിലേക്ക്.
നിയോസ് V കമാൻഡ് ഷെൽ തുറക്കാൻ. സ്റ്റാക്ക് അല്ലെങ്കിൽ ഹീപ്പ് ഉപയോഗത്തിനായി നിങ്ങളുടെ ആപ്ലിക്കേഷനായ .elf-ൽ ലഭ്യമായ ശേഷിക്കുന്ന മെമ്മറി സ്ഥലത്തെക്കുറിച്ച് നിങ്ങളെ അറിയിക്കാൻ.

3.2.4. File ഫോർമാറ്റ് കൺവേർഷൻ ടൂളുകൾ

File ഒരു യൂട്ടിലിറ്റിയിൽ നിന്ന് മറ്റൊന്നിലേക്ക് ഡാറ്റ കൈമാറുമ്പോൾ ചിലപ്പോൾ ഫോർമാറ്റ് പരിവർത്തനം ആവശ്യമായി വരും. file ഫോർമാറ്റ് കൺവേർഷൻ ടൂളുകൾ ഇതിൽ ഉണ്ട്
സോഫ്റ്റ്‌വെയർ ഇൻസ്റ്റലേഷൻ ഡയറക്ടറി>/niosv/bin ഡയറക്ടറി.

പട്ടിക 27. File ഫോർമാറ്റ് കൺവേർഷൻ ടൂളുകൾ

കമാൻഡ്-ലൈൻ ടൂളുകൾ elf2flash elf2hex

സംഗ്രഹം .elf വിവർത്തനം ചെയ്യാൻ file ഫ്ലാഷ് മെമ്മറി പ്രോഗ്രാമിംഗിനായി .srec ഫോർമാറ്റിലേക്ക്. .elf വിവർത്തനം ചെയ്യാൻ file മെമ്മറി ഇനീഷ്യലൈസേഷനായി .hex ഫോർമാറ്റിലേക്ക്.

3.2.5. മറ്റ് യൂട്ടിലിറ്റി ഉപകരണങ്ങൾ

ഒരു Nios V പ്രൊസസർ അധിഷ്ഠിത സിസ്റ്റം നിർമ്മിക്കുമ്പോൾ നിങ്ങൾക്ക് ഇനിപ്പറയുന്ന കമാൻഡ്-ലൈൻ ഉപകരണങ്ങൾ ആവശ്യമായി വന്നേക്കാം. ഈ കമാൻഡ്-ലൈൻ ഉപകരണങ്ങൾ ഇന്റൽ നൽകുന്നതാണ് /ക്വാർട്ടസ്/ബിൻ അല്ലെങ്കിൽ നേടിയത്
ഓപ്പൺ സോഴ്‌സ് ഉപകരണങ്ങൾ.

പട്ടിക 28. മറ്റ് കമാൻഡ്-ലൈൻ ഉപകരണങ്ങൾ

കമാൻഡ്-ലൈൻ ഉപകരണങ്ങൾ

ടൈപ്പ് ചെയ്യുക

സംഗ്രഹം

ജൂവാർട്ട്-ടെർമിനൽ

ഇന്റൽ നൽകുന്നത്

stdout ഉം stderr ഉം നിരീക്ഷിക്കുന്നതിനും, ഒരു Nios® V പ്രോസസ്സറിലേക്ക് ഇൻപുട്ട് നൽകുന്നതിനും
stdin വഴിയുള്ള സബ്സിസ്റ്റം. ഈ ഉപകരണം J-ന് മാത്രമേ ബാധകമാകൂ.TAG Nios® V പ്രൊസസറുമായി ബന്ധിപ്പിക്കുമ്പോൾ UART IP.

ഓപ്പൺഓസിഡി

OpenOCD എക്സിക്യൂട്ട് ചെയ്യാൻ ഇന്റൽ നൽകിയിരിക്കുന്നു.

openocd-cfg-gen - ക്ലൗഡിൽ ഓൺലൈനിൽ

ഇന്റൽ നൽകുന്ന · OpenOCD കോൺഫിഗറേഷൻ സൃഷ്ടിക്കുന്നതിന് file· പ്രദർശിപ്പിക്കുന്നതിന് JTAG ചെയിൻ ഉപകരണ സൂചിക.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 46

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

726952 | 2025.07.16 ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക
4. നിയോസ് വി പ്രൊസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും
വ്യത്യസ്ത മെമ്മറി ലൊക്കേഷനുകളിൽ നിന്ന് സോഫ്റ്റ്‌വെയർ ബൂട്ട് ചെയ്യുന്നതിനും എക്സിക്യൂട്ട് ചെയ്യുന്നതിനും നിങ്ങൾക്ക് നിയോസ് V പ്രൊസസർ കോൺഫിഗർ ചെയ്യാൻ കഴിയും. ബൂട്ട് മെമ്മറി ക്വാഡ് സീരിയൽ പെരിഫറൽ ഇന്റർഫേസ് (QSPI) ഫ്ലാഷ്, ഓൺ-ചിപ്പ് മെമ്മറി (OCRAM), അല്ലെങ്കിൽ ടൈറ്റ്ലി കപ്പിൾഡ് മെമ്മറി (TCM) എന്നിവയാണ്.
ബന്ധപ്പെട്ട വിവരങ്ങൾ · പേജ് 193-ലെ പവർ-അപ്പ് ട്രിഗർ അവസ്ഥകൾ · പവർ-അപ്പ് ട്രിഗറുകൾ
പവർ-അപ്പ് ട്രിഗറുകളെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക്.
4.1. ആമുഖം
നിയോസ് V പ്രോസസ്സർ രണ്ട് തരം ബൂട്ട് പ്രക്രിയകളെ പിന്തുണയ്ക്കുന്നു: · alt_load() ഫംഗ്ഷൻ ഉപയോഗിച്ച് എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ് (XIP) · ബൂട്ട് കോപ്പിയർ ഉപയോഗിച്ച് റാമിലേക്ക് പകർത്തിയ പ്രോഗ്രാം. നിയോസ് V എംബഡഡ് പ്രോഗ്രാമുകളുടെ വികസനം ഹാർഡ്‌വെയർ അബ്‌സ്ട്രാക്ഷൻ ലെയറിനെ (HAL) അടിസ്ഥാനമാക്കിയുള്ളതാണ്. ബൂട്ട് മെമ്മറിയിൽ നിന്ന് പ്രസക്തമായ ലിങ്കർ വിഭാഗങ്ങളെ ബൂട്ട് സമയത്ത് അവയുടെ റൺ ടൈം ലൊക്കേഷനിലേക്ക് പകർത്തുന്ന ഒരു ചെറിയ ബൂട്ട് ലോഡർ പ്രോഗ്രാം (ബൂട്ട് കോപ്പിയർ എന്നും അറിയപ്പെടുന്നു) HAL നൽകുന്നു. ബോർഡ് സപ്പോർട്ട് പാക്കേജ് (BSP) എഡിറ്റർ ക്രമീകരണങ്ങൾ കൈകാര്യം ചെയ്തുകൊണ്ട് നിങ്ങൾക്ക് പ്രോഗ്രാമും ഡാറ്റ മെമ്മറി റൺ ടൈം ലൊക്കേഷനുകളും വ്യക്തമാക്കാൻ കഴിയും. ഈ വിഭാഗം വിവരിക്കുന്നത്: · നിങ്ങളുടെ നിയോസ് V പ്രോസസർ സിസ്റ്റം അനുസരിച്ച് ബൂട്ട് ചെയ്യുന്ന നിയോസ് V പ്രോസസർ ബൂട്ട് കോപ്പിയർ
ബൂട്ട് മെമ്മറി തിരഞ്ഞെടുക്കൽ · നിയോസ് V പ്രോസസർ ബൂട്ടിംഗ് ഓപ്ഷനുകളും പൊതുവായ പ്രവാഹവും · തിരഞ്ഞെടുത്ത ബൂട്ട് മെമ്മറിയ്ക്കുള്ള നിയോസ് V പ്രോഗ്രാമിംഗ് പരിഹാരങ്ങൾ
4.2. ആപ്ലിക്കേഷനുകൾ ലിങ്ക് ചെയ്യുന്നു
നിങ്ങൾ നിയോസ് V പ്രൊസസർ പ്രോജക്റ്റ് സൃഷ്ടിക്കുമ്പോൾ, BSP എഡിറ്റർ രണ്ട് ലിങ്കറുകളുമായി ബന്ധപ്പെട്ടവ സൃഷ്ടിക്കുന്നു files: · linker.x: ലിങ്കർ കമാൻഡ് file ജനറേറ്റ് ചെയ്ത ആപ്ലിക്കേഷന്റെ നിർമ്മാണംfile ഉപയോഗിക്കുന്നു
.elf ബൈനറി സൃഷ്ടിക്കാൻ file. · linker.h: ലിങ്കർ മെമ്മറി ലേഔട്ടിനെക്കുറിച്ചുള്ള വിവരങ്ങൾ അടങ്ങിയിരിക്കുന്നു. BSP പ്രോജക്റ്റിൽ നിങ്ങൾ വരുത്തുന്ന എല്ലാ ലിങ്കർ ക്രമീകരണ മാറ്റങ്ങളും ഈ രണ്ട് ലിങ്കറുകളുടെയും ഉള്ളടക്കത്തെ ബാധിക്കുന്നു. files. എല്ലാ നിയോസ് V പ്രോസസർ ആപ്ലിക്കേഷനിലും ഇനിപ്പറയുന്ന ലിങ്കർ വിഭാഗങ്ങൾ അടങ്ങിയിരിക്കുന്നു:
© ആൾട്ടേര കോർപ്പറേഷൻ. ആൾട്ടേര, ആൾട്ടേര ലോഗോ, `a' ലോഗോ, മറ്റ് ആൾട്ടേര മാർക്കുകൾ എന്നിവ ആൾട്ടേര കോർപ്പറേഷന്റെ വ്യാപാരമുദ്രകളാണ്. ഏതൊരു ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും ഏത് സമയത്തും അറിയിപ്പ് കൂടാതെ മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം ആൾട്ടേരയിൽ നിക്ഷിപ്തമാണ്. ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങൾ, ഉൽപ്പന്നം അല്ലെങ്കിൽ സേവനം എന്നിവയുടെ പ്രയോഗത്തിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന യാതൊരു ഉത്തരവാദിത്തമോ ബാധ്യതയോ ആൾട്ടേര ഏറ്റെടുക്കുന്നില്ല, ആൾട്ടേര രേഖാമൂലം വ്യക്തമായി സമ്മതിച്ചതൊഴിച്ചാൽ. പ്രസിദ്ധീകരിച്ച ഏതെങ്കിലും വിവരങ്ങളെ ആശ്രയിക്കുന്നതിനും ഉൽപ്പന്നങ്ങൾക്കോ ​​സേവനങ്ങൾക്കോ ​​വേണ്ടി ഓർഡർ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സ്പെസിഫിക്കേഷനുകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ആൾട്ടേര ഉപഭോക്താക്കളോട് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16

പട്ടിക 29. ലിങ്കർ വിഭാഗങ്ങൾ

.ടെക്സ്റ്റ്

ലിങ്കർ വിഭാഗങ്ങൾ

.റോഡാറ്റ

.rwdata

.ബിഎസ്എസ്

.കൂമ്പാരം

.സ്റ്റാക്ക്

വിവരണങ്ങൾ എക്സിക്യൂട്ടബിൾ കോഡ്. പ്രോഗ്രാമിന്റെ എക്സിക്യൂഷനിൽ ഉപയോഗിക്കുന്ന ഏതൊരു റീഡ്-ഒൺലി ഡാറ്റയും. പ്രോഗ്രാമിന്റെ എക്സിക്യൂഷനിൽ ഉപയോഗിക്കുന്ന റീഡ്-റൈറ്റ് ഡാറ്റ സംഭരിക്കുന്നു. ആരംഭിക്കാത്ത സ്റ്റാറ്റിക് ഡാറ്റ അടങ്ങിയിരിക്കുന്നു. ഡൈനാമിക് ആയി അനുവദിച്ച മെമ്മറി അടങ്ങിയിരിക്കുന്നു. ഫംഗ്ഷൻ-കോൾ പാരാമീറ്ററുകളും മറ്റ് താൽക്കാലിക ഡാറ്റയും സംഭരിക്കുന്നു.

നിങ്ങൾക്ക് .elf-ലേക്ക് അധിക ലിങ്കർ വിഭാഗങ്ങൾ ചേർക്കാൻ കഴിയും. file ഇഷ്ടാനുസൃത കോഡും ഡാറ്റയും സൂക്ഷിക്കാൻ. ഈ ലിങ്കർ വിഭാഗങ്ങൾ നാമകരണം ചെയ്ത മെമ്മറി മേഖലകളിലാണ് സ്ഥാപിച്ചിരിക്കുന്നത്, ഫിസിക്കൽ മെമ്മറി ഉപകരണങ്ങളുമായും വിലാസങ്ങളുമായും പൊരുത്തപ്പെടുന്നതിനായി നിർവചിച്ചിരിക്കുന്നു. സ്ഥിരസ്ഥിതിയായി, BSP എഡിറ്റർ ഈ ലിങ്കർ വിഭാഗങ്ങൾ സ്വയമേവ സൃഷ്ടിക്കുന്നു. എന്നിരുന്നാലും, ഒരു പ്രത്യേക ആപ്ലിക്കേഷനായി നിങ്ങൾക്ക് ലിങ്കർ വിഭാഗങ്ങൾ നിയന്ത്രിക്കാൻ കഴിയും.

4.2.1. ലിങ്കിംഗ് ബിഹേവിയർ
ഈ വിഭാഗം BSP എഡിറ്ററിന്റെ ഡിഫോൾട്ട് ലിങ്കിംഗ് സ്വഭാവത്തെക്കുറിച്ചും ലിങ്കിംഗ് സ്വഭാവം എങ്ങനെ നിയന്ത്രിക്കാമെന്നും വിവരിക്കുന്നു.

4.2.1.1. ഡിഫോൾട്ട് BSP ലിങ്കിംഗ്
BSP കോൺഫിഗറേഷൻ സമയത്ത്, ഉപകരണങ്ങൾ ഇനിപ്പറയുന്ന ഘട്ടങ്ങൾ യാന്ത്രികമായി നിർവഹിക്കുന്നു:
1. മെമ്മറി മേഖല നാമങ്ങൾ നൽകുക: ഓരോ സിസ്റ്റം മെമ്മറി ഉപകരണത്തിനും ഒരു പേര് നൽകുകയും ലിങ്കറിലേക്ക് ഓരോ പേരും ചേർക്കുകയും ചെയ്യുക. file ഒരു മെമ്മറി മേഖലയായി.
2. ഏറ്റവും വലിയ മെമ്മറി കണ്ടെത്തുക: ലിങ്കറിലെ ഏറ്റവും വലിയ റീഡ്-ആൻഡ്-റൈറ്റ് മെമ്മറി മേഖല തിരിച്ചറിയുക. file.
3. ലിങ്കർ വിഭാഗങ്ങൾ നൽകുക: മുൻ ഘട്ടത്തിൽ തിരിച്ചറിഞ്ഞ മെമ്മറി മേഖലയിൽ ഡിഫോൾട്ട് ലിങ്കർ വിഭാഗങ്ങൾ (.text, .rodata, .rwdata, .bss, .heap, and .stack) സ്ഥാപിക്കുക.
4. എഴുതുക files: linker.x ഉം linker.h ഉം എഴുതുക. files.
സാധാരണയായി, സോഫ്റ്റ്‌വെയർ വികസന പ്രക്രിയയിൽ ലിങ്കർ സെക്ഷൻ അലോക്കേഷൻ സ്കീം പ്രവർത്തിക്കുന്നു, കാരണം മെമ്മറി ആവശ്യത്തിന് വലുതാണെങ്കിൽ ആപ്ലിക്കേഷൻ പ്രവർത്തിക്കുമെന്ന് ഉറപ്പുനൽകുന്നു.
ഡിഫോൾട്ട് ലിങ്കിംഗ് സ്വഭാവത്തിനായുള്ള നിയമങ്ങൾ ആൾട്ടേര-ജനറേറ്റഡ് Tcl സ്ക്രിപ്റ്റുകളായ bsp-set-defaults.tcl, bsp-linker-utils.tcl എന്നിവയിൽ അടങ്ങിയിരിക്കുന്നു. /niosv/scripts/bsp-defaults ഡയറക്ടറി. niosv-bsp കമാൻഡ് ഈ സ്ക്രിപ്റ്റുകളെ വിളിക്കുന്നു. ഈ സ്ക്രിപ്റ്റുകൾ നേരിട്ട് പരിഷ്കരിക്കരുത്.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 48

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16

4.2.1.2. ക്രമീകരിക്കാവുന്ന BSP ലിങ്കിംഗ്
BSP എഡിറ്ററിന്റെ ലിങ്കർ സ്ക്രിപ്റ്റ് ടാബിൽ നിങ്ങൾക്ക് ഡിഫോൾട്ട് ലിങ്കിംഗ് സ്വഭാവം കൈകാര്യം ചെയ്യാൻ കഴിയും. ഇനിപ്പറയുന്ന രീതികൾ ഉപയോഗിച്ച് ലിങ്കർ സ്ക്രിപ്റ്റ് കൈകാര്യം ചെയ്യുക: · ഒരു മെമ്മറി മേഖല ചേർക്കുക: ഒരു ഭൗതിക മെമ്മറി ഉപകരണത്തിലേക്ക് ഒരു മെമ്മറി മേഖലയുടെ പേര് മാപ്പ് ചെയ്യുക. · ഒരു സെക്ഷൻ മാപ്പിംഗ് ചേർക്കുക: ഒരു സെക്ഷൻ നാമം ഒരു മെമ്മറി മേഖലയിലേക്ക് മാപ്പ് ചെയ്യുക. BSP
എഡിറ്റർ നിങ്ങളെ അനുവദിക്കുന്നു view മാറ്റങ്ങൾ വരുത്തുന്നതിന് മുമ്പും ശേഷവുമുള്ള മെമ്മറി മാപ്പ്.

4.3. നിയോസ് V പ്രൊസസ്സർ ബൂട്ടിംഗ് രീതികൾ

ആൾട്ടേര എഫ്‌പി‌ജി‌എ ഉപകരണങ്ങളിൽ നിയോസ് വി പ്രോസസർ ബൂട്ട് ചെയ്യുന്നതിന് ചില രീതികളുണ്ട്. ഫ്ലാഷ് മെമ്മറി തിരഞ്ഞെടുപ്പും ഉപകരണ കുടുംബങ്ങളും അനുസരിച്ച് നിയോസ് വി പ്രോസസർ ബൂട്ട് ചെയ്യുന്നതിനുള്ള രീതികൾ വ്യത്യാസപ്പെടുന്നു.

പട്ടിക 30. യഥാക്രമം ബൂട്ട് ഓപ്ഷനുകൾക്കൊപ്പം പിന്തുണയ്ക്കുന്ന ഫ്ലാഷ് മെമ്മറികൾ

പിന്തുണയ്ക്കുന്ന ബൂട്ട് മെമ്മറികൾ

ഉപകരണം

ഓൺ-ചിപ്പ് ഫ്ലാഷ് (ആന്തരിക കോൺഫിഗറേഷനായി)

പരമാവധി 10 ഉപകരണങ്ങൾ മാത്രം (ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപി ഉള്ളത്)

പൊതുവായ ഉദ്ദേശ്യ QSPI ഫ്ലാഷ് (ഉപയോക്തൃ ഡാറ്റയ്ക്ക് മാത്രം)

എല്ലാ പിന്തുണയ്ക്കുന്ന FPGA ഉപകരണങ്ങളും (ജനറിക് സീരിയൽ ഫ്ലാഷ് ഇന്റർഫേസ് FPGA IP ഉള്ളത്)

കോൺഫിഗറേഷൻ QSPI ഫ്ലാഷ് (ആക്റ്റീവ് സീരിയൽ കോൺഫിഗറേഷനായി)

ബ്ലോക്ക് അടിസ്ഥാനമാക്കിയുള്ള നിയന്ത്രണങ്ങൾ
ഉപകരണങ്ങൾ (ജനറിക് ഉള്ളവ)
സീരിയൽ ഫ്ലാഷ് ഇന്റർഫേസ് ഇന്റൽ FPGA IP)(2)

നിയോസ് V പ്രോസസർ ബൂട്ട് ചെയ്യുന്ന രീതികൾ

ആപ്ലിക്കേഷൻ റൺടൈം ലൊക്കേഷൻ

ബൂട്ട് കോപ്പിയർ

ഓൺ-ചിപ്പ് ഫ്ലാഷിൽ നിന്ന് നിയോസ് V പ്രൊസസർ ആപ്ലിക്കേഷൻ എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ്

ഓൺ-ചിപ്പ് ഫ്ലാഷ് (XIP) + OCRAM/ ബാഹ്യ RAM (റൈറ്റുചെയ്യാവുന്ന ഡാറ്റ വിഭാഗങ്ങൾക്ക്)

alt_load() ഫംഗ്ഷൻ

ബൂട്ട് കോപ്പിയർ ഉപയോഗിച്ച് ഓൺ-ചിപ്പ് ഫ്ലാഷിൽ നിന്ന് റാമിലേക്ക് പകർത്തിയ നിയോസ് V പ്രോസസർ ആപ്ലിക്കേഷൻ.

OCRAM/ബാഹ്യ റാം

GSFI വഴി ബൂട്ട്ലോഡർ വീണ്ടും ഉപയോഗിക്കുന്നു

ജനറൽ പർപ്പസ് ക്യുഎസ്പിഐ ഫ്ലാഷിൽ നിന്ന് നിയോസ് വി പ്രൊസസർ ആപ്ലിക്കേഷൻ എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ്

പൊതുവായ ഉപയോഗത്തിനുള്ള QSPI ഫ്ലാഷ് (XIP) + OCRAM/ ബാഹ്യ RAM (റൈറ്റുചെയ്യാവുന്ന ഡാറ്റ വിഭാഗങ്ങൾക്ക്)

alt_load() ഫംഗ്ഷൻ

ബൂട്ട് കോപ്പിയർ ഉപയോഗിച്ച് ജനറൽ പർപ്പസ് QSPI ഫ്ലാഷിൽ നിന്ന് റാമിലേക്ക് പകർത്തിയ നിയോസ് V പ്രോസസർ ആപ്ലിക്കേഷൻ.

OCRAM/ബാഹ്യ റാം

GSFI വഴിയുള്ള ബൂട്ട്ലോഡർ

QSPI ഫ്ലാഷ് കോൺഫിഗറേഷനിൽ നിന്ന് നിയോസ് V പ്രൊസസർ ആപ്ലിക്കേഷൻ എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ്

കോൺഫിഗറേഷൻ QSPI ഫ്ലാഷ് (XIP) + OCRAM/ ബാഹ്യ RAM (റൈറ്റുചെയ്യാവുന്ന ഡാറ്റ വിഭാഗങ്ങൾക്ക്)

alt_load() ഫംഗ്ഷൻ

ബൂട്ട് കോപ്പിയർ ഉപയോഗിച്ച് കോൺഫിഗറേഷൻ QSPI ഫ്ലാഷിൽ നിന്ന് റാമിലേക്ക് പകർത്തിയ നിയോസ് V പ്രൊസസർ ആപ്ലിക്കേഷൻ.

GSFI വഴിയുള്ള OCRAM/ ബാഹ്യ RAM ബൂട്ട്ലോഡർ തുടരുന്നു...

(2) ഉപകരണ പട്ടികയ്ക്കായി AN 980: Nios V പ്രോസസർ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ പിന്തുണ കാണുക.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 49

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16

പിന്തുണയ്ക്കുന്ന ബൂട്ട് മെമ്മറികൾ
ഓൺ-ചിപ്പ് മെമ്മറി (OCRAM) ടൈറ്റ്‌ലി കപ്പിൾഡ് മെമ്മറി (TCM)

ഉപകരണം
SDM-അധിഷ്ഠിത ഉപകരണങ്ങൾ (മെയിൽബോക്സ് ക്ലയന്റ് ഇന്റൽ FPGA IP ഉള്ളത്). (2)
പിന്തുണയ്ക്കുന്ന എല്ലാ Altera FPGA ഉപകരണങ്ങളും (2)
എല്ലാ പിന്തുണയുള്ള Altera FPGA ഉപകരണങ്ങളും(2)

നിയോസ് V പ്രോസസർ ബൂട്ട് ചെയ്യുന്ന രീതികൾ
ബൂട്ട് കോപ്പിയർ ഉപയോഗിച്ച് കോൺഫിഗറേഷൻ QSPI ഫ്ലാഷിൽ നിന്ന് റാമിലേക്ക് പകർത്തിയ നിയോസ് V പ്രൊസസർ ആപ്ലിക്കേഷൻ.
OCRAM-ൽ നിന്ന് നിയോസ് V പ്രൊസസർ ആപ്ലിക്കേഷൻ എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ്.
ടിസിഎമ്മിൽ നിന്ന് നിയോസ് വി പ്രോസസർ ആപ്ലിക്കേഷൻ എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ്.

ആപ്ലിക്കേഷൻ റൺടൈം ലൊക്കേഷൻ

ബൂട്ട് കോപ്പിയർ

SDM വഴിയുള്ള OCRAM/ ബാഹ്യ RAM ബൂട്ട്ലോഡർ

OCRAM

alt_load() ഫംഗ്ഷൻ

ഇൻസ്ട്രക്ഷൻ TCM (XIP) ഒന്നുമില്ല + ഡാറ്റ TCM (എഴുതാവുന്ന ഡാറ്റ വിഭാഗങ്ങൾക്ക്)

ചിത്രം 28. നിയോസ് V പ്രോസസർ ബൂട്ട് ഫ്ലോ

പുനഃസജ്ജമാക്കുക

വെക്റ്റർ പുനഃസജ്ജമാക്കാൻ പ്രോസസ്സർ ചാടുന്നു (ബൂട്ട് കോഡ് ആരംഭിക്കുന്നു)

ആപ്ലിക്കേഷൻ കോഡ് മറ്റൊരു മെമ്മറി ലൊക്കേഷനിലേക്ക് പകർത്തിയേക്കാം (ബൂട്ട് ഓപ്ഷനുകൾ അനുസരിച്ച്)
ബൂട്ട് കോഡ് പ്രോസസ്സർ ആരംഭിക്കുന്നു

ബൂട്ട് ഓപ്ഷനുകളെ ആശ്രയിച്ച്, ബൂട്ട് കോഡ് ഡാറ്റ/കോഡിനായുള്ള പ്രാരംഭ മൂല്യങ്ങൾ മറ്റൊരു മെമ്മറി സ്‌പെയ്‌സിലേക്ക് (alt_load) പകർത്തിയേക്കാം.
ബൂട്ട് കോഡ് ആപ്ലിക്കേഷൻ കോഡും ഡാറ്റ മെമ്മറി സ്ഥലവും ആരംഭിക്കുന്നു.
ബൂട്ട് കോഡ് എല്ലാ സിസ്റ്റം പെരിഫെറലുകളും HAL ഡ്രൈവറുകൾ ഉപയോഗിച്ച് ആരംഭിക്കുന്നു (alt_main)
പ്രധാന പ്രവേശന കവാടം
ബന്ധപ്പെട്ട വിവരങ്ങൾ · ജനറിക് സീരിയൽ ഫ്ലാഷ് ഇന്റർഫേസ് ആൾട്ടേര FPGA IP ഉപയോക്തൃ ഗൈഡ്
Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 50

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16
· മെയിൽബോക്സ് ക്ലയന്റ് ആൾട്ടേര FPGA IP ഉപയോക്തൃ ഗൈഡ് · AN 980: നിയോസ് V പ്രോസസ്സർ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ പിന്തുണ
4.4. നിയോസ് V പ്രൊസസ്സർ ബൂട്ടിംഗ് രീതികളെക്കുറിച്ചുള്ള ആമുഖം
ആപ്ലിക്കേഷൻ പ്രോഗ്രാം പ്രവർത്തിപ്പിക്കാൻ തുടങ്ങുന്നതിനുമുമ്പ്, നിയോസ് V പ്രൊസസർ സിസ്റ്റങ്ങൾക്ക് സോഫ്റ്റ്‌വെയർ ഇമേജുകൾ സിസ്റ്റം മെമ്മറിയിൽ കോൺഫിഗർ ചെയ്യേണ്ടതുണ്ട്. ഡിഫോൾട്ട് ലിങ്കർ വിഭാഗങ്ങൾക്കായി ലിങ്കർ വിഭാഗങ്ങൾ കാണുക.
BSP എഡിറ്റർ ഇനിപ്പറയുന്ന പ്രവർത്തനങ്ങൾ നിർവ്വഹിക്കുന്ന ഒരു ലിങ്കർ സ്ക്രിപ്റ്റ് സൃഷ്ടിക്കുന്നു: · ലിങ്കർ ക്രമീകരണങ്ങൾക്ക് അനുസൃതമായി പ്രോസസർ സോഫ്റ്റ്‌വെയർ ലിങ്ക് ചെയ്തിട്ടുണ്ടെന്ന് ഉറപ്പാക്കുന്നു.
BSP എഡിറ്ററിന്റെയും സോഫ്റ്റ്‌വെയർ മെമ്മറിയിൽ എവിടെയാണെന്ന് നിർണ്ണയിക്കുന്നു. · മെമ്മറി ഘടകത്തിൽ പ്രോസസ്സറിന്റെ കോഡ് മേഖലയെ
നിയുക്ത മെമ്മറി ഘടകങ്ങൾ.
ലഭ്യമായ നിയോസ് V പ്രൊസസർ ബൂട്ടിംഗ് രീതികളെക്കുറിച്ച് ഇനിപ്പറയുന്ന വിഭാഗം സംക്ഷിപ്തമായി വിവരിക്കുന്നു.
4.4.1. ബൂട്ട് ഫ്ലാഷിൽ നിന്ന് നിയോസ് V പ്രോസസർ ആപ്ലിക്കേഷൻ എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ്
മെമ്മറി കൺട്രോളറോ മെമ്മറി ഉപകരണങ്ങളോ ഇനീഷ്യലൈസ് ചെയ്യാതെ തന്നെ, സിസ്റ്റം റീസെറ്റ് ചെയ്യുമ്പോൾ ബൂട്ട് ഫ്ലാഷ് അഡ്രസ് സ്‌പെയ്‌സ് നിയോസ് വി പ്രോസസറിലേക്ക് ഉടനടി ആക്‌സസ് ചെയ്യാൻ കഴിയുന്ന തരത്തിലാണ് ആൾട്ടേര ഫ്ലാഷ് കൺട്രോളറുകൾ രൂപകൽപ്പന ചെയ്‌തിരിക്കുന്നത്. മറ്റൊരു മെമ്മറി തരത്തിലേക്ക് കോഡ് പകർത്താൻ ബൂട്ട് കോപ്പിയർ ഉപയോഗിക്കാതെ തന്നെ ബൂട്ട് ഉപകരണങ്ങളിൽ സംഭരിച്ചിരിക്കുന്ന ആപ്ലിക്കേഷൻ കോഡ് നേരിട്ട് എക്‌സിക്യൂട്ട് ചെയ്യാൻ ഇത് നിയോസ് വി പ്രോസസറിനെ പ്രാപ്‌തമാക്കുന്നു. ഫ്ലാഷ് കൺട്രോളറുകൾ ഇവയാണ്: · ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപി ഉള്ള ഓൺ-ചിപ്പ് ഫ്ലാഷ് (MAX® 10 ഉപകരണത്തിൽ മാത്രം) · ജനറൽ സീരിയൽ ഫ്ലാഷ് ഇന്റർഫേസ് ഐപി ഉള്ള ജനറൽ ആവശ്യത്തിനുള്ള QSPI ഫ്ലാഷ് · കോൺഫിഗറേഷൻ ജനറിക് സീരിയൽ ഫ്ലാഷ് ഇന്റർഫേസ് ഐപി ഉള്ള QSPI ഫ്ലാഷ് (MAX 10 ഒഴികെ).
ഉപകരണങ്ങൾ)
ബൂട്ട് ഫ്ലാഷിൽ നിന്ന് നിയോസ് V പ്രൊസസർ ആപ്ലിക്കേഷൻ എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ് ചെയ്യുമ്പോൾ, BSP എഡിറ്റർ ഇനിപ്പറയുന്ന പ്രവർത്തനങ്ങൾ നിർവ്വഹിക്കുന്നു: · .text ലിങ്കർ വിഭാഗങ്ങളെ ബൂട്ട് ഫ്ലാഷ് മെമ്മറി മേഖലയിലേക്ക് സജ്ജമാക്കുന്നു. · .bss,.rodata, .rwdata, .stack, .heap ലിങ്കർ വിഭാഗങ്ങളെ RAM-ലേക്ക് സജ്ജമാക്കുന്നു.
മെമ്മറി മേഖല. സിസ്റ്റം പുനഃസജ്ജമാക്കുമ്പോൾ, ഡാറ്റ വിഭാഗങ്ങൾ (.rodata, .rwdata,, .exceptions) RAM-ലേക്ക് പകർത്താൻ BSP ക്രമീകരണങ്ങളിൽ alt_load() ഫംഗ്‌ഷൻ പ്രവർത്തനക്ഷമമാക്കണം. കോഡ് വിഭാഗം (.text) ബൂട്ട് ഫ്ലാഷ് മെമ്മറി മേഖലയിൽ തന്നെ തുടരും.
ബന്ധപ്പെട്ട വിവരങ്ങൾ · പൊതുവായ സീരിയൽ ഫ്ലാഷ് ഇന്റർഫേസ് ആൾട്ടേര FPGA IP ഉപയോക്തൃ ഗൈഡ് · ആൾട്ടേര MAX 10 ഉപയോക്തൃ ഫ്ലാഷ് മെമ്മറി ഉപയോക്തൃ ഗൈഡ്
4.4.1.1. ആൾട്ട്_ലോഡ്()
BSP എഡിറ്റർ ഉപയോഗിച്ച് നിങ്ങൾക്ക് HAL കോഡിൽ alt_load() ഫംഗ്ഷൻ പ്രാപ്തമാക്കാൻ കഴിയും.
എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ് ബൂട്ട് ഫ്ലോയിൽ ഉപയോഗിക്കുമ്പോൾ, alt_load() ഫംഗ്ഷൻ ഇനിപ്പറയുന്ന ജോലികൾ ചെയ്യുന്നു:

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 51

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16

· BSP ക്രമീകരണങ്ങളെ അടിസ്ഥാനമാക്കി മെമ്മറി വിഭാഗങ്ങൾ RAM-ലേക്ക് പകർത്തുന്ന ഒരു മിനി ബൂട്ട് കോപ്പിയറായി പ്രവർത്തിക്കുന്നു.
· ഡാറ്റ വിഭാഗങ്ങൾ (.rodata, .rwdata, .exceptions) റാമിലേക്ക് പകർത്തുന്നു, പക്ഷേ കോഡ് വിഭാഗങ്ങൾ (.text) അല്ല. കോഡ് വിഭാഗം (.text) വിഭാഗം ഒരു വായന-മാത്രം വിഭാഗമാണ്, ബൂട്ടിംഗ് ഫ്ലാഷ് മെമ്മറി മേഖലയിൽ തന്നെ തുടരുന്നു. ഈ പാർട്ടീഷനിംഗ് RAM ഉപയോഗം കുറയ്ക്കാൻ സഹായിക്കുന്നു, പക്ഷേ ഫ്ലാഷ് മെമ്മറിയിലേക്കുള്ള ആക്‌സസുകൾ ഓൺ-ചിപ്പ് റാമിലേക്കുള്ള ആക്‌സസുകളേക്കാൾ മന്ദഗതിയിലായതിനാൽ കോഡ് എക്സിക്യൂഷൻ പ്രകടനം പരിമിതപ്പെടുത്തിയേക്കാം.

താഴെ കൊടുത്തിരിക്കുന്ന പട്ടികയിൽ BSP എഡിറ്റർ സജ്ജീകരണങ്ങളും പ്രവർത്തനങ്ങളും പട്ടികപ്പെടുത്തിയിരിക്കുന്നു:

പട്ടിക 31. ബിഎസ്പി എഡിറ്റർ സജ്ജീകരണങ്ങൾ
ബിഎസ്പി എഡിറ്റർ സെറ്റിംഗ് hal.linker.enable_alt_load hal.linker.enable_alt_load_copy_rodata hal.linker.enable_alt_load_copy_rwdata hal.linker.enable_alt_load_copy_exceptions

ഫംഗ്ഷൻ alt_load() ഫംഗ്ഷൻ പ്രാപ്തമാക്കുന്നു. alt_load() .rodata വിഭാഗം RAM-ലേക്ക് പകർത്തുന്നു. alt_load() .rwdata വിഭാഗം RAM-ലേക്ക് പകർത്തുന്നു. alt_load() .exceptions വിഭാഗം RAM-ലേക്ക് പകർത്തുന്നു.

4.4.2. ബൂട്ട് കോപ്പിയർ ഉപയോഗിച്ച് ബൂട്ട് ഫ്ലാഷിൽ നിന്ന് റാമിലേക്ക് പകർത്തിയ നിയോസ് V പ്രോസസർ ആപ്ലിക്കേഷൻ.
നിയോസ് വി പ്രോസസറിലും എച്ച്എഎല്ലിലും ഒരു ബൂട്ട് കോപ്പിയർ ഉൾപ്പെടുന്നു, അത് മിക്ക നിയോസ് വി പ്രോസസർ ആപ്ലിക്കേഷനുകൾക്കും മതിയായ പ്രവർത്തനക്ഷമത നൽകുന്നു, കൂടാതെ നിയോസ് വി സോഫ്റ്റ്‌വെയർ ഡെവലപ്‌മെന്റ് ഫ്ലോയ്‌ക്കൊപ്പം നടപ്പിലാക്കാൻ സൗകര്യപ്രദവുമാണ്.
ആപ്ലിക്കേഷൻ ഒരു ബൂട്ട് കോപ്പിയർ ഉപയോഗിക്കുമ്പോൾ, അത് എല്ലാ ലിങ്കർ വിഭാഗങ്ങളെയും (.text, .heap, .rwdata, .rodata, .bss, .stack) ഒരു ഇന്റേണൽ അല്ലെങ്കിൽ എക്സ്റ്റേണൽ റാമിലേക്ക് സജ്ജമാക്കുന്നു. ബൂട്ട് ഫ്ലാഷിൽ നിന്ന് ഇന്റേണൽ അല്ലെങ്കിൽ എക്സ്റ്റേണൽ റാമിലേക്ക് എക്സിക്യൂഷനായി ഒരു Nios V പ്രോസസർ ആപ്ലിക്കേഷൻ പകർത്താൻ ബൂട്ട് കോപ്പിയർ ഉപയോഗിക്കുന്നത് എക്സിക്യൂഷൻ പ്രകടനം മെച്ചപ്പെടുത്താൻ സഹായിക്കുന്നു.
ഈ ബൂട്ട് ഓപ്ഷനായി, സിസ്റ്റം റീസെറ്റ് ചെയ്യുമ്പോൾ നിയോസ് V പ്രോസസർ ബൂട്ട് കോപ്പിയർ സോഫ്റ്റ്‌വെയർ പ്രവർത്തിപ്പിക്കാൻ തുടങ്ങുന്നു. സോഫ്റ്റ്‌വെയർ ബൂട്ട് ഫ്ലാഷിൽ നിന്ന് ഇന്റേണൽ അല്ലെങ്കിൽ എക്സ്റ്റേണൽ റാമിലേക്ക് ആപ്ലിക്കേഷൻ പകർത്തുന്നു. പ്രക്രിയ പൂർത്തിയായിക്കഴിഞ്ഞാൽ, നിയോസ് V പ്രോസസർ പ്രോഗ്രാം നിയന്ത്രണം ആപ്ലിക്കേഷനിലേക്ക് മാറ്റുന്നു.

കുറിപ്പ്:

ബൂട്ട് കോപ്പിയർ ഫ്ലാഷിലാണെങ്കിൽ, alt_load() ഫംഗ്ഷൻ വിളിക്കേണ്ടതില്ല, കാരണം അവ രണ്ടും ഒരേ ഉദ്ദേശ്യം നിറവേറ്റുന്നു.

4.4.2.1. ജനറിക് സീരിയൽ ഫ്ലാഷ് ഇന്റർഫേസ് വഴി നിയോസ് V പ്രോസസർ ബൂട്ട്ലോഡർ
കൺട്രോൾ ബ്ലോക്ക് അധിഷ്ഠിത ഉപകരണങ്ങളിൽ QSPI ഫ്ലാഷ് മെമ്മറി പിന്തുണയ്ക്കുന്ന നിയോസ് V പ്രോസസർ ബൂട്ട് കോപ്പിയറാണ് GSFI വഴിയുള്ള ബൂട്ട്ലോഡർ. GSFI വഴിയുള്ള ബൂട്ട്ലോഡറിൽ ഇനിപ്പറയുന്ന സവിശേഷതകൾ ഉൾപ്പെടുന്നു:
· അസ്ഥിരമല്ലാത്ത മെമ്മറിയിൽ സോഫ്റ്റ്‌വെയർ ആപ്ലിക്കേഷൻ കണ്ടെത്തുന്നു.
· സോഫ്റ്റ്‌വെയർ ആപ്ലിക്കേഷൻ ഇമേജ് അൺപാക്ക് ചെയ്ത് റാമിലേക്ക് പകർത്തുന്നു.
· കോപ്പി പൂർത്തിയായ ശേഷം പ്രോസസ്സർ എക്സിക്യൂഷൻ റാമിലെ ആപ്ലിക്കേഷൻ കോഡിലേക്ക് യാന്ത്രികമായി മാറുന്നു.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 52

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16

ബൂട്ട് ഇമേജ് ബൂട്ട് കോപ്പിയറിന് തൊട്ടുപിന്നാലെയാണ് സ്ഥിതി ചെയ്യുന്നത്. നിയോസ് V പ്രൊസസർ റീസെറ്റ് ഓഫ്‌സെറ്റ് ബൂട്ട് കോപ്പിയറിന്റെ ആരംഭത്തിലേക്ക് പോയിന്റ് ചെയ്യുന്നുണ്ടെന്ന് നിങ്ങൾ ഉറപ്പാക്കേണ്ടതുണ്ട്. ചിത്രം: GSFI വഴി ബൂട്ട്‌ലോഡർ ഉപയോഗിച്ച് QSPI ഫ്ലാഷിനുള്ള മെമ്മറി മാപ്പ്, GSFI വഴി ബൂട്ട്‌ലോഡർ ഉപയോഗിച്ച് QSPI ഫ്ലാഷിനുള്ള മെമ്മറി മാപ്പ്, ഒരു ബൂട്ട് കോപ്പിയർ ഉപയോഗിക്കുമ്പോൾ QSPI ഫ്ലാഷിനുള്ള ഫ്ലാഷ് മെമ്മറി മാപ്പ് കാണിക്കുന്നു. ഈ മെമ്മറി മാപ്പ് ഫ്ലാഷ് മെമ്മറി മെമ്മറി FPGA ഇമേജും ആപ്ലിക്കേഷൻ സോഫ്റ്റ്‌വെയറും സംഭരിക്കുന്നുവെന്ന് അനുമാനിക്കുന്നു.

പട്ടിക 32. നിയോസ് V പ്രോസസർ കോറിനായി GSFI വഴിയുള്ള ബൂട്ട്ലോഡർ

നിയോസ് വി പ്രോസസർ കോർ
നിയോസ് വി/എം പ്രോസസർ

GSFI വഴിയുള്ള ബൂട്ട്ലോഡർ File സ്ഥാനം
/niosv/components/bootloader/ niosv_m_bootloader.srec

നിയോസ് വി/ജി പ്രോസസർ

/niosv/components/bootloader/ niosv_g_bootloader.srec

ചിത്രം 29. GSFI വഴി ബൂട്ട്ലോഡർ ഉപയോഗിച്ചുള്ള QSPI ഫ്ലാഷിനായുള്ള മെമ്മറി മാപ്പ്

ഉപഭോക്തൃ ഡാറ്റ (*.hex)

അപേക്ഷാ കോഡ്

കുറിപ്പ്:

വെക്റ്റർ ഓഫ്‌സെറ്റ് പുനഃസജ്ജമാക്കുക

ബൂട്ട് കോപ്പിയർ

0x01E00000

FPGA ഇമേജ് (*.sof)

0x00000000

1. മെമ്മറി മാപ്പിന്റെ തുടക്കത്തിൽ FPGA ഇമേജും തുടർന്ന് ബൂട്ട് കോപ്പിയറും ആപ്ലിക്കേഷൻ കോഡും അടങ്ങുന്ന നിങ്ങളുടെ ഡാറ്റയും ഉണ്ട്.
2. പ്ലാറ്റ്‌ഫോം ഡിസൈനറിൽ നിയോസ് V പ്രൊസസർ റീസെറ്റ് ഓഫ്‌സെറ്റ് സജ്ജമാക്കി ബൂട്ട് കോപ്പിയറിന്റെ ആരംഭത്തിലേക്ക് പോയിന്റ് ചെയ്യണം.
3. FPGA ഇമേജിന്റെ വലുപ്പം അജ്ഞാതമാണ്. ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് സമാഹരണത്തിനുശേഷം മാത്രമേ നിങ്ങൾക്ക് കൃത്യമായ വലുപ്പം അറിയാൻ കഴിയൂ. ആൾട്ടേര FPGA ഇമേജിന്റെ വലുപ്പത്തിന് നിങ്ങൾ ഒരു ഉയർന്ന പരിധി നിർണ്ണയിക്കണം. ഉദാഹരണത്തിന്ampഅപ്പോൾ, FPGA ഇമേജിന്റെ വലിപ്പം 0x01E00000-ൽ കുറവാണെന്ന് കണക്കാക്കിയിട്ടുണ്ടെങ്കിൽ, പ്ലാറ്റ്‌ഫോം ഡിസൈനറിൽ റീസെറ്റ് ഓഫ്‌സെറ്റ് 0x01E00000 ആയി സജ്ജമാക്കുക, അത് ബൂട്ട് കോപ്പിയറിന്റെ ആരംഭം കൂടിയാണ്.
4. സോഫ്റ്റ്‌വെയർ ആപ്ലിക്കേഷൻ അപ്‌ഡേറ്റ് ചെയ്‌താൽ FPGA ഇമേജിന്റെ ഭാഗിക മായ്‌ക്കൽ സംഭവിക്കുന്നില്ലെന്ന് ഉറപ്പാക്കാൻ, റീസെറ്റ് വെക്‌ടർ ഓഫ്‌സെറ്റ് ഒരു ഫ്ലാഷ് സെക്ടർ ബൗണ്ടറിയിൽ സജ്ജീകരിക്കുന്നത് ഒരു നല്ല ഡിസൈൻ പരിശീലനത്തിൽ ഉൾപ്പെടുന്നു.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 53

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16

4.4.2.2. സെക്യുർ ഡിവൈസ് മാനേജർ വഴി നിയോസ് വി പ്രോസസർ ബൂട്ട്ലോഡർ
ബൂട്ട്‌ലോഡർ വഴി സെക്യുർ ഡിവൈസ് മാനേജർ (SDM) എന്നത് പ്രോസസ്സർ ബൂട്ടിംഗിനായി മെയിൽബോക്സ് ക്ലയന്റ് ആൾട്ടേര FPGA IP HAL ഡ്രൈവർ ഉപയോഗിക്കുന്ന ഒരു HAL ആപ്ലിക്കേഷൻ കോഡാണ്. നിയോസ് V പ്രോസസർ ബൂട്ട് ചെയ്യുന്നതിന് SDM-അധിഷ്ഠിത ഉപകരണങ്ങളിൽ കോൺഫിഗറേഷൻ QSPI ഫ്ലാഷ് ഉപയോഗിക്കുമ്പോൾ ആൾട്ടേര ഈ ബൂട്ട്‌ലോഡർ ആപ്ലിക്കേഷൻ ശുപാർശ ചെയ്യുന്നു.
സിസ്റ്റം റീസെറ്റ് ചെയ്യുമ്പോൾ, നിയോസ് V പ്രോസസർ ആദ്യം ഒരു ചെറിയ ഓൺ-ചിപ്പ് മെമ്മറിയിൽ നിന്ന് SDM വഴി ബൂട്ട്ലോഡർ ബൂട്ട് ചെയ്യുന്നു, തുടർന്ന് മെയിൽബോക്സ് ക്ലയന്റ് IP ഉപയോഗിച്ച് കോൺഫിഗറേഷൻ QSPI ഫ്ലാഷുമായി ആശയവിനിമയം നടത്താൻ SDM വഴി ബൂട്ട്ലോഡർ എക്സിക്യൂട്ട് ചെയ്യുന്നു.
SDM വഴിയുള്ള ബൂട്ട്‌ലോഡർ ഇനിപ്പറയുന്ന ജോലികൾ ചെയ്യുന്നു: · കോൺഫിഗറേഷൻ QSPI ഫ്ലാഷിൽ Nios V സോഫ്റ്റ്‌വെയർ കണ്ടെത്തുന്നു. · Nios V സോഫ്റ്റ്‌വെയർ ഓൺ-ചിപ്പ് റാമിലേക്കോ ബാഹ്യ റാമിലേക്കോ പകർത്തുന്നു. · പ്രോസസർ എക്സിക്യൂഷൻ ഓൺ-ചിപ്പ് റാമിനുള്ളിലെ Nios V സോഫ്റ്റ്‌വെയറിലേക്ക് മാറ്റുന്നു അല്ലെങ്കിൽ
ബാഹ്യ റാം.
പ്രക്രിയ പൂർത്തിയായിക്കഴിഞ്ഞാൽ, SDM വഴിയുള്ള ബൂട്ട്‌ലോഡർ പ്രോഗ്രാം നിയന്ത്രണം ഉപയോക്തൃ ആപ്ലിക്കേഷനിലേക്ക് മാറ്റുന്നു. SDM വഴി ബൂട്ട്‌ലോഡറിനായുള്ള മെമ്മറി ഓർഗനൈസേഷനിൽ വിവരിച്ചിരിക്കുന്നതുപോലെ മെമ്മറി ഓർഗനൈസേഷൻ ആൾട്ടേര ശുപാർശ ചെയ്യുന്നു.
ചിത്രം 30. SDM പ്രോസസ് ഫ്ലോ വഴിയുള്ള ബൂട്ട്ലോഡർ

കോൺഫിഗറേഷൻ

ഫ്ലാഷ്

2

നിയോസ് വി സോഫ്റ്റ്‌വെയർ

എസ്.ഡി.എം

SDM-അധിഷ്ഠിത FPGA ഉപകരണം

മെയിൽബോക്സ് ക്ലയന്റ് ഐപി

FPGA ലോജിക് നിയോസ് V

4 ബാഹ്യ റാം
നിയോസ് വി സോഫ്റ്റ്‌വെയർ

ഓൺ-ചിപ്പ് 4

EMIF

റാം

ഓൺ-ചിപ്പ് മെമ്മറി

IP

നിയോസ് വി

1

സോഫ്റ്റ്വെയർ

SDM വഴിയുള്ള ബൂട്ട്ലോഡർ

3

3

1. നിയോസ് V പ്രൊസസർ ഓൺ-ചിപ്പ് മെമ്മറിയിൽ നിന്ന് SDM വഴി ബൂട്ട്ലോഡർ പ്രവർത്തിപ്പിക്കുന്നു.
2. SDM വഴി ബൂട്ട്ലോഡർ കോൺഫിഗറേഷൻ ഫ്ലാഷുമായി ആശയവിനിമയം നടത്തുകയും നിയോസ് V സോഫ്റ്റ്‌വെയർ കണ്ടെത്തുകയും ചെയ്യുന്നു.
3. SDM വഴിയുള്ള ബൂട്ട്ലോഡർ കോൺഫിഗറേഷൻ ഫ്ലാഷിൽ നിന്ന് നിയോസ് V സോഫ്റ്റ്‌വെയർ ഓൺ-ചിപ്പ് റാമിലേക്ക് / ബാഹ്യ റാമിലേക്ക് പകർത്തുന്നു.
4. SDM വഴിയുള്ള ബൂട്ട്‌ലോഡർ, ഓൺ-ചിപ്പ് RAM / എക്സ്റ്റേണൽ RAM-ലെ Nios V പ്രൊസസർ എക്സിക്യൂഷനെ Nios V സോഫ്റ്റ്‌വെയറിലേക്ക് മാറ്റുന്നു.

4.4.3. OCRAM-ൽ നിന്നുള്ള നിയോസ് V പ്രോസസർ ആപ്ലിക്കേഷൻ എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ്
ഈ രീതിയിൽ, നിയോസ് V പ്രോസസർ റീസെറ്റ് വിലാസം ഓൺ-ചിപ്പ് മെമ്മറിയുടെ (OCRAM) അടിസ്ഥാന വിലാസത്തിലേക്ക് സജ്ജീകരിച്ചിരിക്കുന്നു. ആപ്ലിക്കേഷൻ ബൈനറി (.hex) file ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറിൽ ഹാർഡ്‌വെയർ ഡിസൈൻ കംപൈൽ ചെയ്ത ശേഷം, FPGA കോൺഫിഗർ ചെയ്യുമ്പോൾ OCRAM-ലേക്ക് ലോഡ് ചെയ്യപ്പെടുന്നു. നിയോസ് V പ്രോസസർ പുനഃസജ്ജമാക്കിയാൽ, ആപ്ലിക്കേഷൻ എക്സിക്യൂട്ട് ചെയ്യാൻ തുടങ്ങുകയും എൻട്രി പോയിന്റിലേക്ക് ബ്രാഞ്ച് ചെയ്യുകയും ചെയ്യുന്നു.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 54

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16

കുറിപ്പ്:

· സിസ്റ്റം റീസെറ്റ് ചെയ്യുമ്പോൾ തന്നെ Nios V പ്രൊസസർ ആപ്ലിക്കേഷൻ നിലവിലുണ്ട്, അതിനാൽ OCRAM-ൽ നിന്നുള്ള എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസിന് ബൂട്ട് കോപ്പിയർ ആവശ്യമില്ല.
· ഈ ബൂട്ടിംഗ് രീതിക്കായി alt_load() പ്രവർത്തനക്ഷമമാക്കാൻ Altera ശുപാർശ ചെയ്യുന്നു, അതുവഴി FPGA ഉപകരണ ഇമേജ് പുനഃക്രമീകരിക്കാതെ പുനഃസജ്ജമാക്കുമ്പോൾ എംബഡഡ് സോഫ്റ്റ്‌വെയർ സമാനമായി പ്രവർത്തിക്കും.
· സിസ്റ്റം പുനഃസജ്ജമാക്കുമ്പോൾ .rwdata വിഭാഗം പകർത്താൻ BSP ക്രമീകരണങ്ങളിൽ alt_load() ഫംഗ്ഷൻ പ്രാപ്തമാക്കണം. ഈ രീതിയിൽ, പ്രോഗ്രാം എക്സിക്യൂഷനിൽ ഓവർറൈറ്റിംഗ് ഒഴിവാക്കാൻ ഇനീഷ്യലൈസ് ചെയ്ത വേരിയബിളുകളുടെ പ്രാരംഭ മൂല്യങ്ങൾ അനുബന്ധ വേരിയബിളുകളിൽ നിന്ന് പ്രത്യേകം സൂക്ഷിക്കുന്നു.

4.4.4. ടിസിഎമ്മിൽ നിന്നുള്ള നിയോസ് വി പ്രോസസർ ആപ്ലിക്കേഷൻ എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ്
എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ് രീതി നിയോസ് V പ്രോസസർ റീസെറ്റ് വിലാസത്തെ ടൈറ്റി കപ്പിൾഡ് മെമ്മറിയുടെ (TCM) അടിസ്ഥാന വിലാസത്തിലേക്ക് സജ്ജമാക്കുന്നു. ആപ്ലിക്കേഷൻ ബൈനറി (.hex) file ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറിൽ ഹാർഡ്‌വെയർ ഡിസൈൻ കംപൈൽ ചെയ്ത ശേഷം FPGA കോൺഫിഗർ ചെയ്യുമ്പോൾ TCM-ലേക്ക് ലോഡ് ചെയ്യപ്പെടുന്നു. നിയോസ് V പ്രോസസർ പുനഃസജ്ജമാക്കിയാൽ, ആപ്ലിക്കേഷൻ എക്സിക്യൂട്ട് ചെയ്യാൻ തുടങ്ങുകയും എൻട്രി പോയിന്റിലേക്ക് ബ്രാഞ്ച് ചെയ്യുകയും ചെയ്യുന്നു.

കുറിപ്പ്:

സിസ്റ്റം റീസെറ്റ് ചെയ്യുമ്പോൾ തന്നെ Nios V പ്രൊസസർ ആപ്ലിക്കേഷൻ നിലവിലുണ്ട്, അതിനാൽ TCM-ൽ നിന്നുള്ള Execute-In-Place-ന് ബൂട്ട് കോപ്പിയർ ആവശ്യമില്ല.

4.5. ഓൺ-ചിപ്പ് ഫ്ലാഷിൽ (UFM) നിന്ന് നിയോസ് V പ്രൊസസർ ബൂട്ട് ചെയ്യുന്നു

MAX 10 FPGA ഉപകരണങ്ങളിൽ Nios V പ്രൊസസർ ബൂട്ട് ചെയ്യുന്നതിനും ഓൺ-ചിപ്പ് ഫ്ലാഷിൽ (UFM) പ്രവർത്തിപ്പിക്കുന്നതിനുമുള്ള സോഫ്റ്റ്‌വെയർ ലഭ്യമാണ്. ഇന്റേണൽ കോൺഫിഗറേഷൻ മോഡിൽ ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഉപയോഗിച്ച് നിയോസ് V പ്രൊസസർ ഇനിപ്പറയുന്ന രണ്ട് ബൂട്ട് ഓപ്ഷനുകളെ പിന്തുണയ്ക്കുന്നു:
· നിയോസ് V പ്രൊസസർ ആപ്ലിക്കേഷൻ ഓൺ-ചിപ്പ് ഫ്ലാഷിൽ നിന്ന് ഇൻ-പ്ലെയ്‌സിൽ എക്സിക്യൂട്ട് ചെയ്യുന്നു.
· ബൂട്ട് കോപ്പിയർ ഉപയോഗിച്ച് നിയോസ് V പ്രോസസർ ആപ്ലിക്കേഷൻ ഓൺ-ചിപ്പ് ഫ്ലാഷിൽ നിന്ന് റാമിലേക്ക് പകർത്തുന്നു.

പട്ടിക 33. അതത് ബൂട്ട് ഓപ്ഷനുകൾക്കൊപ്പം പിന്തുണയ്ക്കുന്ന ഫ്ലാഷ് മെമ്മറികൾ

പിന്തുണയ്ക്കുന്ന ബൂട്ട് മെമ്മറികൾ

നിയോസ് V ബൂട്ടിംഗ് രീതികൾ

ആപ്ലിക്കേഷൻ റൺടൈം ലൊക്കേഷൻ

ബൂട്ട് കോപ്പിയർ

പരമാവധി 10 ഉപകരണങ്ങൾ മാത്രം (OnChip ഫ്ലാഷ് IP ഉള്ളത്)

ഓൺ-ചിപ്പ് ഫ്ലാഷിൽ നിന്ന് നിയോസ് V പ്രൊസസർ ആപ്ലിക്കേഷൻ എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ്
ബൂട്ട് കോപ്പിയർ ഉപയോഗിച്ച് ഓൺ-ചിപ്പ് ഫ്ലാഷിൽ നിന്ന് റാമിലേക്ക് പകർത്തിയ നിയോസ് V പ്രോസസർ ആപ്ലിക്കേഷൻ.

ഓൺ-ചിപ്പ് ഫ്ലാഷ് (XIP) + OCRAM/ ബാഹ്യ RAM (റൈറ്റുചെയ്യാവുന്ന ഡാറ്റ വിഭാഗങ്ങൾക്ക്)

alt_load() ഫംഗ്ഷൻ

OCRAM/ ബാഹ്യ റാം

GSFI വഴി ബൂട്ട്ലോഡർ വീണ്ടും ഉപയോഗിക്കുന്നു

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 55

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16

ചിത്രം 31.

ഡിസൈൻ, കോൺഫിഗറേഷൻ, ബൂട്ടിംഗ് ഫ്ലോ
ഡിസൈൻ · പ്ലാറ്റ്‌ഫോം ഡിസൈനർ ഉപയോഗിച്ച് നിങ്ങളുടെ നിയോസ് V പ്രോസസർ അധിഷ്ഠിത പ്രോജക്റ്റ് സൃഷ്ടിക്കുക. · സിസ്റ്റം ഡിസൈനിൽ ബാഹ്യ RAM അല്ലെങ്കിൽ ഓൺ-ചിപ്പ് RAM ഉണ്ടെന്ന് ഉറപ്പാക്കുക.

FPGA കോൺഫിഗറേഷനും സമാഹാരവും
· പ്ലാറ്റ്‌ഫോം ഡിസൈനറിലും ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറിലും ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപിയിൽ ഒരേ ഇന്റേണൽ കോൺഫിഗറേഷൻ മോഡ് സജ്ജമാക്കുക. · നിയോസ് V പ്രോസസർ റീസെറ്റ് ഏജന്റിനെ ഓൺ-ചിപ്പ് ഫ്ലാഷിലേക്ക് സജ്ജമാക്കുക. · നിങ്ങൾക്ക് ഇഷ്ടപ്പെട്ട UFM ഇനീഷ്യലൈസേഷൻ രീതി തിരഞ്ഞെടുക്കുക. · പ്ലാറ്റ്‌ഫോം ഡിസൈനറിൽ നിങ്ങളുടെ ഡിസൈൻ സൃഷ്ടിക്കുക. · ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറിൽ നിങ്ങളുടെ പ്രോജക്റ്റ് കംപൈൽ ചെയ്യുക.

ഉപയോക്തൃ ആപ്ലിക്കേഷൻ ബിഎസ്പി പ്രോജക്റ്റ് · .sopcinfo അടിസ്ഥാനമാക്കി നിയോസ് വി പ്രോസസർ എച്ച്എഎൽ ബിഎസ്പി സൃഷ്ടിക്കുക. file പ്ലാറ്റ്‌ഫോം ഡിസൈനർ സൃഷ്ടിച്ചത്. · BSP എഡിറ്ററിൽ Nios V പ്രോസസർ BSP ക്രമീകരണങ്ങളും ലിങ്കർ സ്ക്രിപ്റ്റും എഡിറ്റ് ചെയ്യുക. · BSP പ്രോജക്റ്റ് സൃഷ്ടിക്കുക.
യൂസർ ആപ്ലിക്കേഷൻ എപിപി പ്രോജക്റ്റ് · നിയോസ് വി പ്രോസസർ ആപ്ലിക്കേഷൻ കോഡ് വികസിപ്പിക്കുക. · നിയോസ് വി പ്രോസസർ ആപ്ലിക്കേഷൻ കംപൈൽ ചെയ്ത് നിയോസ് വി പ്രോസസർ ആപ്ലിക്കേഷൻ സൃഷ്ടിക്കുക (.hex) file· ഇന്റൽ FPGA ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപിയിൽ ഇനിഷ്യലൈസ് മെമ്മറി കണ്ടന്റ് ഓപ്ഷൻ പരിശോധിച്ചാൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറിൽ നിങ്ങളുടെ പ്രോജക്റ്റ് വീണ്ടും കംപൈൽ ചെയ്യുക.

പ്രോഗ്രാമിംഗ് Fileപരിവർത്തനം, ഡൗൺലോഡ്, റൺ · ഓൺ-ചിപ്പ് ഫ്ലാഷ് സൃഷ്ടിക്കുക .pof file കൺവേർട്ട് പ്രോഗ്രാമിംഗ് ഉപയോഗിക്കുന്നു Fileക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറിലെ ന്റെ സവിശേഷത.
· .pof പ്രോഗ്രാം ചെയ്യുക file നിങ്ങളുടെ ഹാർഡ്‌വെയർ പവർ സൈക്കിൾ ചെയ്യുക. · നിങ്ങളുടെ MAX 10 ഉപകരണത്തിലേക്ക് പവർ സൈക്കിൾ ചെയ്യുക.
4.5.1. MAX 10 FPGA ഓൺ-ചിപ്പ് ഫ്ലാഷ് വിവരണം
MAX 10 FPGA ഉപകരണങ്ങളിൽ രണ്ട് ഭാഗങ്ങളായി വിഭജിച്ചിരിക്കുന്ന ഓൺ-ചിപ്പ് ഫ്ലാഷ് അടങ്ങിയിരിക്കുന്നു: · കോൺഫിഗറേഷൻ ഫ്ലാഷ് മെമ്മറി (CFM) — ഹാർഡ്‌വെയർ കോൺഫിഗറേഷൻ ഡാറ്റ സംഭരിക്കുന്നു
പരമാവധി 10 FPGA-കൾ. · യൂസർ ഫ്ലാഷ് മെമ്മറി (UFM) — ഉപയോക്തൃ ഡാറ്റയോ സോഫ്റ്റ്‌വെയർ ആപ്ലിക്കേഷനുകളോ സംഭരിക്കുന്നു.
MAX 10 ഉപകരണത്തിന്റെ UFM ആർക്കിടെക്ചർ സോഫ്റ്റ്, ഹാർഡ് ഐപികളുടെ സംയോജനമാണ്. ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറിലെ ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപി കോർ ഉപയോഗിച്ച് മാത്രമേ നിങ്ങൾക്ക് UFM ആക്‌സസ് ചെയ്യാൻ കഴിയൂ.
ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപി കോർ ഇനിപ്പറയുന്ന സവിശേഷതകളെ പിന്തുണയ്ക്കുന്നു: · UFM, CFM (പ്ലാറ്റ്‌ഫോം ഡിസൈനറിൽ പ്രവർത്തനക്ഷമമാക്കിയിട്ടുണ്ടെങ്കിൽ) സെക്ടറുകളിലേക്കുള്ള ആക്‌സസുകൾ വായിക്കുകയോ എഴുതുകയോ ചെയ്യുക.
Avalon MM ഡാറ്റയും നിയന്ത്രണ സ്ലേവ് ഇന്റർഫേസും ഉപയോഗിക്കുന്നു. · പേജ് മായ്ക്കൽ, സെക്ടർ മായ്ക്കൽ, സെക്ടർ റൈറ്റ് എന്നിവ പിന്തുണയ്ക്കുന്നു. · വിവിധ EDA സിമുലേഷൻ ഉപകരണങ്ങൾ ഉപയോഗിച്ച് UFM റീഡ്/റൈറ്റ് ആക്‌സസുകൾക്കുള്ള സിമുലേഷൻ മോഡൽ.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 56

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16

പട്ടിക 34. MAX 10 FPGA ഉപകരണങ്ങളിലെ ഓൺ-ചിപ്പ് ഫ്ലാഷ് റീജിയണുകൾ

ഫ്ലാഷ് റീജിയണുകൾ

പ്രവർത്തനക്ഷമത

ഫ്ലാഷ് മെമ്മറി കോൺഫിഗറേഷൻ (സെക്ടറുകൾ CFM0-2)

FPGA കോൺഫിഗറേഷൻ file സംഭരണം

ഉപയോക്തൃ ഫ്ലാഷ് മെമ്മറി (സെക്ടറുകൾ UFM0-1)

നിയോസ് വി പ്രോസസർ ആപ്ലിക്കേഷനും ഉപയോക്തൃ ഡാറ്റയും

MAX 10 FPGA ഉപകരണങ്ങൾ നിരവധി കോൺഫിഗറേഷൻ മോഡുകളെ പിന്തുണയ്ക്കുന്നു, കൂടാതെ ഈ മോഡുകളിൽ ചിലത് CFM1, CFM2 എന്നിവ ഒരു അധിക UFM മേഖലയായി ഉപയോഗിക്കാൻ അനുവദിക്കുന്നു. MAX 10 FPGA യുടെ കോൺഫിഗറേഷൻ മോഡുകളെ അടിസ്ഥാനമാക്കി FPGA കോൺഫിഗറേഷൻ ഇമേജുകളുടെ സംഭരണ ​​സ്ഥാനം ഇനിപ്പറയുന്ന പട്ടിക കാണിക്കുന്നു.

പട്ടിക 35. FPGA കോൺഫിഗറേഷൻ ഇമേജുകളുടെ സംഭരണ ​​സ്ഥലം

കോൺഫിഗറേഷൻ മോഡ് ഡ്യുവൽ കംപ്രസ്സ് ചെയ്ത ഇമേജുകൾ

CFM2 കംപ്രസ്സ് ചെയ്ത ഇമേജ് 2

CFM1

CFM0 കംപ്രസ്സ് ചെയ്ത ഇമേജ് 1

കംപ്രസ് ചെയ്യാത്ത ഒറ്റ ചിത്രം

വെർച്വൽ യു.എഫ്.എം.

കംപ്രസ് ചെയ്യാത്ത ചിത്രം

മെമ്മറി ഇനീഷ്യലൈസേഷനോടുകൂടിയ ഒറ്റ കംപ്രസ് ചെയ്യാത്ത ചിത്രം

കംപ്രസ് ചെയ്യാത്ത ചിത്രം (പ്രീ-ഇനീഷ്യലൈസ് ചെയ്ത ഓൺ-ചിപ്പ് മെമ്മറി ഉള്ളടക്കത്തോടെ)

മെമ്മറി ഇനീഷ്യലൈസേഷനോടുകൂടിയ സിംഗിൾ കംപ്രസ് ചെയ്ത ഇമേജ് കംപ്രസ് ചെയ്ത ഇമേജ് (പ്രീ-ഇനീഷ്യലൈസ് ചെയ്ത ഓൺ-ചിപ്പ് മെമ്മറി ഉള്ളടക്കത്തോടെ)

ഒറ്റ കംപ്രസ് ചെയ്ത ചിത്രം

വെർച്വൽ യു.എഫ്.എം.

കംപ്രസ് ചെയ്ത ചിത്രം

പരമാവധി 10 FPGA-കളിൽ ഫ്ലാഷ് മെമ്മറിയിലേക്ക് ആക്‌സസ് ലഭിക്കാൻ നിങ്ങൾ ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപി കോർ ഉപയോഗിക്കണം. ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപി ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറുമായി ഇൻസ്റ്റന്റൈറ്റ് ചെയ്യാനും ബന്ധിപ്പിക്കാനും നിങ്ങൾക്ക് കഴിയും. ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപിയുമായി ആശയവിനിമയം നടത്താൻ നിയോസ് V സോഫ്റ്റ് കോർ പ്രോസസർ പ്ലാറ്റ്‌ഫോം ഡിസൈനർ ഇന്റർകണക്‌ടുകൾ ഉപയോഗിക്കുന്നു.
ചിത്രം 32. ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപിയും നിയോസ് വി പ്രോസസ്സറും തമ്മിലുള്ള കണക്ഷൻ

കുറിപ്പ്:

എഴുത്ത്, മായ്ക്കൽ പ്രവർത്തനങ്ങൾ നിയന്ത്രിക്കാൻ പ്രോസസ്സറിനെ പ്രാപ്തമാക്കുന്നതിന് ഓൺ-ചിപ്പ് ഫ്ലാഷ് സിഎസ്ആർ പോർട്ട് നിയോസ് വി പ്രൊസസർ ഡാറ്റ_മാനേജറുമായി ബന്ധിപ്പിച്ചിട്ടുണ്ടെന്ന് ഉറപ്പാക്കുക.
ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപി കോറിന് അഞ്ച് ഫ്ലാഷ് സെക്ടറുകളിലേക്ക് ആക്‌സസ് നൽകാൻ കഴിയും - UFM0, UFM1, CFM0, CFM1, CFM2.
UFM, CFM മേഖലകളെക്കുറിച്ചുള്ള പ്രധാന വിവരങ്ങൾ.: · CFM മേഖലകൾ കോൺഫിഗറേഷൻ (ബിറ്റ്സ്ട്രീം) ഡാറ്റ (*.pof) സംഭരണത്തിനായി ഉദ്ദേശിച്ചുള്ളതാണ്.
· പ്ലാറ്റ്‌ഫോം ഡിസൈനർ ടൂളിൽ ശരിയായ ക്രമീകരണങ്ങൾ തിരഞ്ഞെടുത്തിട്ടുണ്ടെങ്കിൽ, ഉപയോക്തൃ ഡാറ്റ UFM സെക്ടറുകളിൽ സംഭരിക്കാനും മറയ്ക്കാനും കഴിയും.
· ചില ഉപകരണങ്ങൾക്ക് UFM1 സെക്ടർ ഇല്ല. നിങ്ങൾക്ക് പട്ടിക പരിശോധിക്കാം: ഓരോ വ്യക്തിഗത MAX 10 FPGA ഉപകരണത്തിലും ലഭ്യമായ സെക്ടറുകൾക്കായുള്ള UFM, CFM സെക്ടർ വലുപ്പം.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 57

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16

· സിംഗിൾ അൺകംപ്രസ്സ്ഡ് ഇമേജ് കോൺഫിഗറേഷൻ മോഡ് തിരഞ്ഞെടുത്ത് നിങ്ങൾക്ക് CFM2 ഒരു വെർച്വൽ UFM ആയി കോൺഫിഗർ ചെയ്യാൻ കഴിയും.
· സിംഗിൾ അൺകംപ്രസ്സ്ഡ് ഇമേജ് കോൺഫിഗറേഷൻ മോഡ് തിരഞ്ഞെടുത്ത് നിങ്ങൾക്ക് CFM2, CFM1 എന്നിവ ഒരു വെർച്വൽ UFM ആയി കോൺഫിഗർ ചെയ്യാൻ കഴിയും.
· തിരഞ്ഞെടുത്ത MAX 10 FPGA ഉപകരണങ്ങൾക്കനുസരിച്ച് ഓരോ സെക്ടറിന്റെയും വലുപ്പം വ്യത്യാസപ്പെടുന്നു.

പട്ടിക 36.

UFM, CFM മേഖല വലുപ്പം
ഈ പട്ടികയിൽ UFM, CFM അറേകളുടെ അളവുകൾ പട്ടികപ്പെടുത്തിയിരിക്കുന്നു.

ഉപകരണം

സെക്ടർ അനുസരിച്ചുള്ള പേജുകൾ

യുഎഫ്എം1 യുഎഫ്എം0 സിഎഫ്എം2 സിഎഫ്എം1 സിഎഫ്എം0

പേജ് വലുപ്പം (Kbit)

പരമാവധി ഉപയോക്താവ്
ഫ്ലാഷ് മെമ്മറി വലുപ്പം (Kbit) (3)

ആകെ കോൺഫിഗറേഷൻ മെമ്മറി വലുപ്പം (Kbit)

10എം02 3

3

0

0

34 16

96

544

10എം04 0

8

41 29 70 16

1248

2240

10എം08 8

8

41 29 70 16

1376

2240

10എം16 4

4

38 28 66 32

2368

4224

10എം25 4

4

52 40 92 32

3200

5888

10എം40 4

4

48 36 84 64

5888

10752

10എം50 4

4

48 36 84 64

5888

10752

OCRAM വലുപ്പം (Kbit)
108 189 378 549 675 1260 1638

ബന്ധപ്പെട്ട വിവരങ്ങൾ · MAX 10 FPGA കോൺഫിഗറേഷൻ ഉപയോക്തൃ ഗൈഡ് · Altera MAX 10 ഉപയോക്തൃ ഫ്ലാഷ് മെമ്മറി ഉപയോക്തൃ ഗൈഡ്

4.5.2. UFM-ൽ നിന്നുള്ള നിയോസ് V പ്രോസസർ ആപ്ലിക്കേഷൻ എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ്

പരിമിതമായ ഓൺ-ചിപ്പ് മെമ്മറി ഉപയോഗം ആവശ്യമുള്ള നിയോസ് V പ്രൊസസർ ആപ്ലിക്കേഷനുകൾക്ക് UFM സൊല്യൂഷനിൽ നിന്നുള്ള എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ് അനുയോജ്യമാണ്. BSP ക്രമീകരണങ്ങളെ അടിസ്ഥാനമാക്കി ബൂട്ട് മെമ്മറിയിൽ നിന്ന് RAM-ലേക്ക് ഡാറ്റ വിഭാഗങ്ങൾ (.rodata, .rwdata, അല്ലെങ്കിൽ .exceptions) പകർത്തുന്ന ഒരു മിനി ബൂട്ട് കോപ്പിയറായി alt_load() ഫംഗ്ഷൻ പ്രവർത്തിക്കുന്നു. കോഡ് വിഭാഗം (.text),
റീഡ് ഒൺലി വിഭാഗമായ ഇത് MAX 10 ഓൺ-ചിപ്പ് ഫ്ലാഷ് മെമ്മറി മേഖലയിൽ തന്നെ തുടരുന്നു. ഈ സജ്ജീകരണം RAM ഉപയോഗം കുറയ്ക്കുന്നു, പക്ഷേ ഫ്ലാഷ് മെമ്മറിയിലേക്കുള്ള ആക്‌സസ് ഓൺ-ചിപ്പ് റാമിനേക്കാൾ മന്ദഗതിയിലായതിനാൽ കോഡ് എക്സിക്യൂഷൻ പ്രകടനം പരിമിതപ്പെടുത്തിയേക്കാം.

നിയോസ് V പ്രൊസസർ ആപ്ലിക്കേഷൻ UFM സെക്ടറിലേക്ക് പ്രോഗ്രാം ചെയ്തിരിക്കുന്നു. സിസ്റ്റം റീസെറ്റ് ചെയ്തതിനുശേഷം UFM-ൽ നിന്ന് കോഡ് എക്സിക്യൂട്ട് ചെയ്യുന്നതിന് നിയോസ് V പ്രൊസസറിന്റെ റീസെറ്റ് വെക്റ്റർ UFM ബേസ് വിലാസത്തിലേക്ക് പോയിന്റ് ചെയ്യുന്നു.

നിങ്ങളുടെ ആപ്ലിക്കേഷൻ ഡീബഗ് ചെയ്യാൻ സോഴ്‌സ്-ലെവൽ ഡീബഗ്ഗർ ഉപയോഗിക്കുകയാണെങ്കിൽ, നിങ്ങൾ ഒരു ഹാർഡ്‌വെയർ ബ്രേക്ക്‌പോയിന്റ് ഉപയോഗിക്കണം. കാരണം, സോഫ്റ്റ് ബ്രേക്ക്‌പോയിന്റ് ഡീബഗ്ഗിംഗിന് ആവശ്യമായ റാൻഡം മെമ്മറി ആക്‌സസ് UFM പിന്തുണയ്ക്കുന്നില്ല.

കുറിപ്പ്:

MAX 10-ൽ എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ് ചെയ്യുമ്പോൾ നിങ്ങൾക്ക് UFM മായ്ക്കാനോ എഴുതാനോ കഴിയില്ല. നിങ്ങൾക്ക് UFM മായ്ക്കാനോ എഴുതാനോ ആവശ്യമുണ്ടെങ്കിൽ ബൂട്ട് കോപ്പിയർ സമീപനത്തിലേക്ക് മാറുക.

(3) നിങ്ങൾ തിരഞ്ഞെടുക്കുന്ന കോൺഫിഗറേഷൻ മോഡിനെ ആശ്രയിച്ചിരിക്കുന്ന പരമാവധി സാധ്യമായ മൂല്യം.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 58

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16

ചിത്രം 33. UFM-ൽ നിന്നുള്ള നിയോസ് V പ്രോസസർ ആപ്ലിക്കേഷൻ XIP

പരമാവധി 10 ഉപകരണം

.പി.ഒ.എഫ്
നിയോസ് വി ഹാർഡ്‌വെയർ .SOF
നിയോസ് വി സോഫ്റ്റ്‌വെയർ .HEX

ക്വാർട്ടസ് പ്രോഗ്രാമർ

ഓൺ-ചിപ്പ് ഫ്ലാഷ്

സി.എഫ്.എം

നിയോസ് വി ഹാർഡ്‌വെയർ

യു.എഫ്.എം

നിയോസ് വി സോഫ്റ്റ്‌വെയർ

ആന്തരിക കോൺഫിഗറേഷൻ

ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപി

FPGA ലോജിക്
നിയോസ് വി പ്രോസസർ

ഓൺ-ചിപ്പ് റാം

ബാഹ്യ

റാം

EMIF

IP

4.5.2.1. ഹാർഡ്‌വെയർ ഡിസൈൻ ഫ്ലോ
ഓൺ-ചിപ്പ് ഫ്ലാഷിൽ നിന്ന് ഒരു നിയോസ് V പ്രൊസസർ ആപ്ലിക്കേഷനായി ഒരു ബൂട്ടബിൾ സിസ്റ്റം നിർമ്മിക്കുന്നതിനുള്ള ഘട്ടം ഘട്ടമായുള്ള രീതി ഇനിപ്പറയുന്ന വിഭാഗം വിവരിക്കുന്നു.ampതാഴെയുള്ളത് MAX 10 ഉപകരണം ഉപയോഗിച്ചാണ് നിർമ്മിച്ചിരിക്കുന്നത്.
IP ഘടക ക്രമീകരണങ്ങൾ
1. ക്വാർട്ടസ് പ്രൈമും പ്ലാറ്റ്‌ഫോം ഡിസൈനറും ഉപയോഗിച്ച് നിങ്ങളുടെ നിയോസ് V പ്രോസസർ പ്രോജക്റ്റ് സൃഷ്ടിക്കുക. 2. നിങ്ങളുടെ പ്ലാറ്റ്‌ഫോമിൽ ബാഹ്യ RAM അല്ലെങ്കിൽ ഓൺ-ചിപ്പ് മെമ്മറി (OCRAM) ചേർത്തിട്ടുണ്ടെന്ന് ഉറപ്പാക്കുക.
ഡിസൈനർ സിസ്റ്റം.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 59

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16
ചിത്രം 34. Example ഓൺചിപ്പ് ഫ്ലാഷിൽ (യുഎഫ്എം) നിന്ന് നിയോസ് വി ബൂട്ട് ചെയ്യുന്നതിനുള്ള പ്ലാറ്റ്‌ഫോം ഡിസൈനറിലെ ഐപി കണക്ഷനുകൾ

3. ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപി പാരാമീറ്റർ എഡിറ്ററിൽ, നിങ്ങളുടെ ഡിസൈൻ മുൻഗണന അനുസരിച്ച് കോൺഫിഗറേഷൻ മോഡ് ഇനിപ്പറയുന്നവയിൽ ഒന്നായി സജ്ജമാക്കുക: · സിംഗിൾ അൺകംപ്രസ്സ്ഡ് ഇമേജ് · സിംഗിൾ കംപ്രസ്സ്ഡ് ഇമേജ് · മെമ്മറി ഇനീഷ്യലൈസേഷനോടുകൂടിയ സിംഗിൾ കംപ്രസ്സ്ഡ് ഇമേജ് · മെമ്മറി ഇനീഷ്യലൈസേഷനോടുകൂടിയ സിംഗിൾ കംപ്രസ്സ്ഡ് ഇമേജ്
ഡ്യുവൽ കംപ്രസ്സ്ഡ് ഇമേജുകളെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക്, MAX 10 FPGA കോൺഫിഗറേഷൻ യൂസർ ഗൈഡ് - റിമോട്ട് സിസ്റ്റം അപ്‌ഗ്രേഡ് കാണുക.

കുറിപ്പ്:

ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപിയിലെ ഓരോ സിഎഫ്എം മേഖലകളിലേക്കും നിങ്ങൾ ഹിഡൻ ആക്‌സസ് നൽകണം.

ചിത്രം 35. ഓൺ-ചിപ്പ് ഫ്ലാഷ് പാരാമീറ്റർ എഡിറ്ററിലെ കോൺഫിഗറേഷൻ മോഡ് തിരഞ്ഞെടുക്കൽ

ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപി ക്രമീകരണങ്ങൾ – യുഎഫ്എം ഇനീഷ്യലൈസേഷൻ നിങ്ങളുടെ മുൻഗണന അനുസരിച്ച് ഇനിപ്പറയുന്ന രീതികളിൽ ഒന്ന് നിങ്ങൾക്ക് തിരഞ്ഞെടുക്കാം:

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 60

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16

കുറിപ്പ്:

തുടർന്നുള്ള ഉപചാപ്റ്ററുകളിലെ (സോഫ്റ്റ്‌വെയർ ഡിസൈൻ ഫ്ലോ ആൻഡ് പ്രോഗ്രാമിംഗ്) ഘട്ടങ്ങൾ നിങ്ങൾ ഇവിടെ നടത്തുന്ന തിരഞ്ഞെടുപ്പിനെ ആശ്രയിച്ചിരിക്കുന്നു.

· രീതി 1: സമാഹരിക്കുന്ന സമയത്ത് SOF-ൽ UFM ഡാറ്റ ഇനീഷ്യലൈസ് ചെയ്യുക.
സമാഹരണം നടക്കുമ്പോൾ ക്വാർട്ടസ് പ്രൈം SOF-ൽ UFM ഇനീഷ്യലൈസേഷൻ ഡാറ്റ ഉൾപ്പെടുത്തുന്നു. UFM ഡാറ്റയിൽ മാറ്റങ്ങളുണ്ടെങ്കിൽ SOF റീകംപൈലേഷൻ ആവശ്യമാണ്.
1. ഫ്ലാഷ് ഉള്ളടക്കം ഇനീഷ്യലൈസ് ചെയ്യുക, ഡിഫോൾട്ട് അല്ലാത്ത ഇനിഷ്യലൈസേഷൻ പ്രാപ്തമാക്കുക എന്നിവ പരിശോധിക്കുക. file.

ചിത്രം 36. ഫ്ലാഷ് ഉള്ളടക്കങ്ങൾ ഇനീഷ്യലൈസ് ചെയ്ത് നോൺ-ഡിഫോൾട്ട് ഇനീഷ്യലൈസേഷൻ പ്രാപ്തമാക്കുക File

2. ജനറേറ്റ് ചെയ്ത .hex ന്റെ പാത വ്യക്തമാക്കുക file (elf2hex കമാൻഡിൽ നിന്ന്) ഉപയോക്താവ് സൃഷ്ടിച്ച ഹെക്സ് അല്ലെങ്കിൽ മിഫ് എന്നതിൽ file.
ചിത്രം 37. .hex ചേർക്കുന്നു File പാത

· രീതി 2: POF സൃഷ്ടിക്കുമ്പോൾ UFM ഡാറ്റ ഒരു കംപൈൽ ചെയ്ത SOF-മായി സംയോജിപ്പിക്കുക.
പ്രോഗ്രാമിംഗ് പരിവർത്തനം ചെയ്യുമ്പോൾ UFM ഡാറ്റ കംപൈൽ ചെയ്ത SOF-മായി സംയോജിപ്പിക്കുന്നു. files. UFM ഡാറ്റ മാറിയാലും നിങ്ങൾ SOF വീണ്ടും കംപൈൽ ചെയ്യേണ്ടതില്ല. വികസന സമയത്ത്, നിങ്ങൾ SOF വീണ്ടും കംപൈൽ ചെയ്യേണ്ടതില്ല. fileആപ്ലിക്കേഷനിലെ മാറ്റങ്ങൾക്ക് s. ആപ്ലിക്കേഷൻ ഡെവലപ്പർമാർക്ക് Alterare ഈ രീതി ശുപാർശ ചെയ്യുന്നു.
1. ഫ്ലാഷ് ഉള്ളടക്കം ആരംഭിക്കുക എന്നത് അൺചെക്ക് ചെയ്യുക..
ചിത്രം 38. നോൺ-ഡിഫോൾട്ട് ഇനിഷ്യലൈസേഷൻ ഉപയോഗിച്ച് ഫ്ലാഷ് ഉള്ളടക്കം ഇനിഷ്യലൈസ് ചെയ്യുക File

നിയോസ് വി പ്രോസസർ എക്സിക്യൂട്ട്-ഇൻ-പ്ലേസ് രീതിക്കുള്ള ഏജന്റ് ക്രമീകരണങ്ങൾ പുനഃസജ്ജമാക്കുക.
1. നിയോസ് V പ്രൊസസർ പാരാമീറ്റർ എഡിറ്ററിൽ, റീസെറ്റ് ഏജന്റ് ഓൺ-ചിപ്പ് ഫ്ലാഷായി സജ്ജമാക്കുക.
ചിത്രം 39. റീസെറ്റ് ഏജന്റ് ഓൺ-ചിപ്പ് ഫ്ലാഷിലേക്ക് സജ്ജമാക്കിയിരിക്കുന്ന നിയോസ് V പ്രോസസർ പാരാമീറ്റർ എഡിറ്റർ ക്രമീകരണങ്ങൾ.

2. ജനറേഷൻ ഡയലോഗ് ബോക്സ് ദൃശ്യമാകുമ്പോൾ Generate HDL ക്ലിക്ക് ചെയ്യുക. 3. ഔട്ട്പുട്ട് വ്യക്തമാക്കുക. file ജനറേഷൻ ഓപ്ഷനുകൾ തിരഞ്ഞെടുത്ത് ജനറേറ്റ് ക്ലിക്ക് ചെയ്യുക.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 61

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16
ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയർ ക്രമീകരണങ്ങൾ 1. ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറിൽ, അസൈൻമെന്റ്സ് ഡിവൈസ് ഡിവൈസ് ക്ലിക്ക് ചെയ്ത് പിൻ ചെയ്യുക
ഓപ്ഷനുകൾ കോൺഫിഗറേഷൻ. ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപിയിലെ ക്രമീകരണത്തിനനുസരിച്ച് കോൺഫിഗറേഷൻ മോഡ് സജ്ജമാക്കുക. ചിത്രം 40. ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറിലെ കോൺഫിഗറേഷൻ മോഡ് തിരഞ്ഞെടുക്കൽ

2. ഡിവൈസ് ആൻഡ് പിൻ ഓപ്ഷൻസ് വിൻഡോയിൽ നിന്ന് പുറത്തുകടക്കാൻ ശരി ക്ലിക്ക് ചെയ്യുക,
3. ഡിവൈസ് വിൻഡോയിൽ നിന്ന് പുറത്തുകടക്കാൻ ശരി ക്ലിക്ക് ചെയ്യുക.
4. നിങ്ങളുടെ പ്രോജക്റ്റ് കംപൈൽ ചെയ്യാനും .sof ജനറേറ്റ് ചെയ്യാനും പ്രോസസ്സിംഗ് സ്റ്റാർട്ട് കംപൈലേഷൻ ക്ലിക്ക് ചെയ്യുക. file.

കുറിപ്പ്:

ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്‌വെയറിലും പ്ലാറ്റ്‌ഫോം ഡിസൈനർ പാരാമീറ്റർ എഡിറ്ററിലും കോൺഫിഗറേഷൻ മോഡ് സെറ്റിംഗ് വ്യത്യസ്തമാണെങ്കിൽ, താഴെ പറയുന്ന പിശക് സന്ദേശത്തോടെ ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് പരാജയപ്പെടും.

ചിത്രം 41.

വ്യത്യസ്ത കോൺഫിഗറേഷൻ മോഡ് സെറ്റിംഗിനായുള്ള പിശക് സന്ദേശം പിശക് (14740): “q_sys:q_sys_inst| altera_onchip_flash:onchip_flash_1|altera_onchip_flash_block: altera_onchip_flash_block|ufm_block” എന്ന ആറ്റത്തിലെ കോൺഫിഗറേഷൻ മോഡ് പ്രോജക്റ്റ് സെറ്റിംഗുമായി പൊരുത്തപ്പെടുന്നില്ല. പ്രോജക്റ്റ് സെറ്റിംഗുമായി പൊരുത്തപ്പെടുന്നതിന് Qsys സിസ്റ്റം അപ്ഡേറ്റ് ചെയ്ത് വീണ്ടും സൃഷ്ടിക്കുക.

ബന്ധപ്പെട്ട വിവരങ്ങൾ MAX 10 FPGA കോൺഫിഗറേഷൻ ഉപയോക്തൃ ഗൈഡ്

4.5.2.2. സോഫ്റ്റ്‌വെയർ ഡിസൈൻ ഫ്ലോ
നിയോസ് V പ്രൊസസർ സോഫ്റ്റ്‌വെയർ പ്രോജക്റ്റ് സൃഷ്ടിക്കുന്നതിനും നിർമ്മിക്കുന്നതിനുമുള്ള ഡിസൈൻ ഫ്ലോ ഈ വിഭാഗം നൽകുന്നു. ഒരു സ്ട്രീംലൈൻഡ് ബിൽഡ് ഫ്ലോ ഉറപ്പാക്കാൻ, നിങ്ങളുടെ ഡിസൈൻ പ്രോജക്റ്റിൽ സമാനമായ ഒരു ഡയറക്ടറി ട്രീ സൃഷ്ടിക്കാൻ നിങ്ങളെ പ്രോത്സാഹിപ്പിക്കുന്നു. ഇനിപ്പറയുന്ന സോഫ്റ്റ്‌വെയർ ഡിസൈൻ ഫ്ലോ ഈ ഡയറക്ടറി ട്രീയെ അടിസ്ഥാനമാക്കിയുള്ളതാണ്.
സോഫ്റ്റ്‌വെയർ പ്രോജക്റ്റ് ഡയറക്ടറി ട്രീ സൃഷ്ടിക്കാൻ, ഈ ഘട്ടങ്ങൾ പാലിക്കുക: 1. നിങ്ങളുടെ ഡിസൈൻ പ്രോജക്റ്റ് ഫോൾഡറിൽ, സോഫ്റ്റ്‌വെയർ എന്ന പേരിൽ ഒരു ഫോൾഡർ സൃഷ്ടിക്കുക. 2. സോഫ്റ്റ്‌വെയർ ഫോൾഡറിൽ, hal_app, hal_bsp എന്നീ രണ്ട് ഫോൾഡറുകൾ സൃഷ്ടിക്കുക.
ചിത്രം 42. സോഫ്റ്റ്‌വെയർ പ്രോജക്റ്റ് ഡയറക്ടറി ട്രീ

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 62

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16
ആപ്ലിക്കേഷൻ ബിഎസ്പി പ്രോജക്റ്റ് സൃഷ്ടിക്കുന്നു
BSP എഡിറ്റർ സമാരംഭിക്കുന്നതിന്, ഈ ഘട്ടങ്ങൾ പാലിക്കുക: 1. Nios V കമാൻഡ് ഷെൽ നൽകുക. 2. niosv-bsp-editor കമാൻഡ് ഉപയോഗിച്ച് BSP എഡിറ്റർ അഭ്യർത്ഥിക്കുക. 3. BSP എഡിറ്ററിൽ, ക്ലിക്ക് ചെയ്യുക File നിങ്ങളുടെ BSP പ്രോജക്റ്റ് ആരംഭിക്കാൻ പുതിയ BSP. 4. ഇനിപ്പറയുന്ന ക്രമീകരണങ്ങൾ കോൺഫിഗർ ചെയ്യുക:
· SOPC വിവരങ്ങൾ File പേര്: SOPCINFO നൽകുക file (.sopcinfo). · സിപിയു നാമം: നിയോസ് വി പ്രോസസർ തിരഞ്ഞെടുക്കുക. · ഓപ്പറേറ്റിംഗ് സിസ്റ്റം: നിയോസ് വി പ്രോസസറിന്റെ ഓപ്പറേറ്റിംഗ് സിസ്റ്റം തിരഞ്ഞെടുക്കുക. · പതിപ്പ്: സ്ഥിരസ്ഥിതിയായി വിടുക. · ബിഎസ്പി ലക്ഷ്യ ഡയറക്ടറി: ബിഎസ്പി പ്രോജക്റ്റിന്റെ ഡയറക്ടറി പാത്ത് തിരഞ്ഞെടുക്കുക. നിങ്ങൾക്ക് കഴിയും
മുൻകൂട്ടി സജ്ജമാക്കുക /software/hal_bsp ഡിഫോൾട്ട് ലൊക്കേഷനുകൾ ഉപയോഗിക്കുക പ്രാപ്തമാക്കുന്നതിലൂടെ. · BSP ക്രമീകരണങ്ങൾ File പേര്: ബിഎസ്പി സെറ്റിംഗ്സിന്റെ പേര് ടൈപ്പ് ചെയ്യുക File· അധിക Tcl സ്ക്രിപ്റ്റുകൾ: അധിക Tcl സ്ക്രിപ്റ്റ് പ്രാപ്തമാക്കുക വഴി ഒരു BSP Tcl സ്ക്രിപ്റ്റ് നൽകുക. 5. ശരി ക്ലിക്കുചെയ്യുക.
ചിത്രം 43. പുതിയ BSP കോൺഫിഗർ ചെയ്യുക

ബിഎസ്പി എഡിറ്റർ കോൺഫിഗർ ചെയ്യുകയും ബിഎസ്പി പ്രോജക്റ്റ് സൃഷ്ടിക്കുകയും ചെയ്യുന്നു.
നിങ്ങളുടെ ഡിസൈൻ മുൻഗണനയെ അടിസ്ഥാനമാക്കി ഓൺ-ചിപ്പ് മെമ്മറി (OCRAM) അല്ലെങ്കിൽ ഓൺ-ചിപ്പ് ഫ്ലാഷ് എന്നിവയിൽ പ്രോസസ്സറിന്റെ എക്സെപ്ഷൻ വെക്റ്റർ നിർവചിക്കാം. ഇന്ററപ്റ്റ് പ്രോസസ്സിംഗ് വേഗത്തിലാക്കാൻ എക്സെപ്ഷൻ വെക്റ്റർ മെമ്മറി OCRAM/എക്സ്റ്റേണൽ റാമിലേക്ക് സജ്ജീകരിക്കാൻ ശുപാർശ ചെയ്യുന്നു. 1. പ്രധാന ക്രമീകരണങ്ങൾ അഡ്വാൻസ്ഡ് hal.linker-ലേക്ക് പോകുക. 2. നിങ്ങൾ ഓൺ-ചിപ്പ് ഫ്ലാഷ് എക്സെപ്ഷൻ വെക്റ്ററായി തിരഞ്ഞെടുക്കുകയാണെങ്കിൽ,
a. ഇനിപ്പറയുന്ന ക്രമീകരണങ്ങൾ പ്രാപ്തമാക്കുക:

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 63

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16
· allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata ചിത്രം 44. Advanced.hal.linker ക്രമീകരണങ്ങൾ

b. BSP എഡിറ്ററിലെ ലിങ്കർ സ്ക്രിപ്റ്റ് ടാബിൽ ക്ലിക്ക് ചെയ്യുക. c. ലിങ്കർ സെക്ഷൻ നെയിമിലെ .exceptions ഉം .text റീജിയണുകളും സജ്ജമാക്കുക.
ഓൺ-ചിപ്പ് ഫ്ലാഷ്. d. ലിങ്കർ സെക്ഷൻ നെയിം ലിസ്റ്റിലെ ബാക്കി പ്രദേശങ്ങൾ ഓൺ-ചിപ്പിലേക്ക് സജ്ജമാക്കുക.
മെമ്മറി (OCRAM) അല്ലെങ്കിൽ ബാഹ്യ RAM.
ചിത്രം 45. ലിങ്കർ റീജിയൻ സജ്ജീകരണങ്ങൾ (എക്‌സെപ്ഷൻ വെക്റ്റർ മെമ്മറി: ഓൺ-ചിപ്പ് ഫ്ലാഷ്)

3. നിങ്ങൾ OCRAM/External RAM ആണ് എക്‌സെപ്ഷൻ വെക്റ്ററായി തിരഞ്ഞെടുക്കുന്നതെങ്കിൽ, a. ഇനിപ്പറയുന്ന ക്രമീകരണങ്ങൾ പ്രവർത്തനക്ഷമമാക്കുക: · allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata · enable_alt_load_copy_exception
ചിത്രം 46. ലിങ്കർ റീജിയൻ സജ്ജീകരണങ്ങൾ (എക്‌സെപ്ഷൻ വെക്‌ടർ മെമ്മറി: OCRAM/ബാഹ്യ RAM)

b. BSP എഡിറ്ററിലെ Linker Script ടാബിൽ ക്ലിക്ക് ചെയ്യുക.
c. ലിങ്കർ സെക്ഷൻ നെയിമിലെ .text റീജിയണുകൾ ഓൺ-ചിപ്പ് ഫ്ലാഷായി സജ്ജമാക്കുക.
d. ലിങ്കർ സെക്ഷൻ നെയിം ലിസ്റ്റിലെ ബാക്കി പ്രദേശങ്ങൾ ഓൺ-ചിപ്പ് മെമ്മറി (OCRAM) അല്ലെങ്കിൽ ബാഹ്യ RAM ആയി സജ്ജമാക്കുക.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 64

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16
ചിത്രം 47. ലിങ്കർ റീജിയൻ സജ്ജീകരണങ്ങൾ (എക്‌സെപ്ഷൻ വെക്‌ടർ മെമ്മറി: OCRAM)
4. BSP പ്രോജക്റ്റ് ജനറേറ്റ് ചെയ്യുന്നതിന് ജനറേറ്റ് ക്ലിക്ക് ചെയ്യുക. ഉപയോക്തൃ ആപ്ലിക്കേഷൻ പ്രോജക്റ്റ് ജനറേറ്റ് ചെയ്യുന്നു File 1. സോഫ്റ്റ്‌വെയർ/ഹാൽ_ആപ്പ് ഫോൾഡറിലേക്ക് നാവിഗേറ്റ് ചെയ്ത് നിങ്ങളുടെ ആപ്ലിക്കേഷൻ ഉറവിടം സൃഷ്ടിക്കുക.
കോഡ്. 2. Nios V കമാൻഡ് ഷെൽ സമാരംഭിക്കുക. 3. CMakeLists.txt ആപ്ലിക്കേഷൻ ജനറേറ്റ് ചെയ്യുന്നതിന് താഴെയുള്ള കമാൻഡ് നടപ്പിലാക്കുക.
niosv-app –app-dir=സോഫ്റ്റ്‌വെയർ/hal_app –bsp-dir=സോഫ്റ്റ്‌വെയർ/hal_bsp –srcs=സോഫ്റ്റ്‌വെയർ/hal_app/
ഉപയോക്തൃ ആപ്ലിക്കേഷൻ പ്രോജക്റ്റ് നിർമ്മിക്കൽ നിങ്ങൾക്ക് Altera FPGA-കൾക്കായി Ashling RiscFree IDE ഉപയോഗിച്ചോ കമാൻഡ് ലൈൻ ഇന്റർഫേസ് (CLI) വഴിയോ ഉപയോക്തൃ ആപ്ലിക്കേഷൻ പ്രോജക്റ്റ് നിർമ്മിക്കാൻ തിരഞ്ഞെടുക്കാം. നിങ്ങൾ CLI ഉപയോഗിക്കാൻ ആഗ്രഹിക്കുന്നുവെങ്കിൽ, ഇനിപ്പറയുന്ന കമാൻഡ് ഉപയോഗിച്ച് നിങ്ങൾക്ക് ഉപയോക്തൃ ആപ്ലിക്കേഷൻ നിർമ്മിക്കാം: cmake -G “Unix Makefiles” -B സോഫ്റ്റ്‌വെയർ/ഹാൽ_ആപ്പ്/ബിൽഡ് -S സോഫ്റ്റ്‌വെയർ/ഹാൽ_ആപ്പ് മേക്ക് -C സോഫ്റ്റ്‌വെയർ/ഹാൽ_ആപ്പ്/ബിൽഡ്
ആപ്ലിക്കേഷൻ (.elf) file സോഫ്റ്റ്‌വെയർ/ഹാൽ_ആപ്പ്/ബിൽഡ് ഫോൾഡറിൽ സൃഷ്ടിക്കപ്പെടുന്നു. HEX സൃഷ്ടിക്കുന്നു. File നിങ്ങൾ ഒരു .hex സൃഷ്ടിക്കണം file നിങ്ങളുടെ ആപ്ലിക്കേഷനിൽ നിന്ന് .elf file, അങ്ങനെ നിങ്ങൾക്ക് ഒരു .pof സൃഷ്ടിക്കാൻ കഴിയും file ഉപകരണങ്ങൾ പ്രോഗ്രാം ചെയ്യുന്നതിന് അനുയോജ്യം. 1. നിയോസ് V കമാൻഡ് ഷെൽ സമാരംഭിക്കുക. 2. ഓൺ-ചിപ്പ് ഫ്ലാഷിൽ നിന്ന് നിയോസ് V പ്രോസസർ ആപ്ലിക്കേഷൻ ബൂട്ട് ചെയ്യുന്നതിന്, ഇനിപ്പറയുന്നവ ഉപയോഗിക്കുക.
നിങ്ങളുടെ ആപ്ലിക്കേഷനായി ELF നെ HEX ആക്കി മാറ്റുന്നതിനുള്ള കമാൻഡ് ലൈൻ. ഈ കമാൻഡ് ഉപയോക്തൃ ആപ്ലിക്കേഷൻ (onchip_flash.hex) സൃഷ്ടിക്കുന്നു. file. elf2hex സോഫ്റ്റ്‌വെയർ/ഹാൽ_ആപ്പ്/ബിൽഡ്/ .elf -o onchip_flash.hex (ആദ്യം)
-ബി -w 8 -ഇ 3. ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപിയിൽ (രീതി 1) ഇനിഷ്യലൈസ് മെമ്മറി കണ്ടന്റ് ഓപ്ഷൻ പരിശോധിച്ചാൽ ഹാർഡ്‌വെയർ ഡിസൈൻ വീണ്ടും കംപൈൽ ചെയ്യുക. SOF-ൽ സോഫ്റ്റ്‌വെയർ ഡാറ്റ (.HEX) ഉൾപ്പെടുത്തുന്നതിനാണിത്. file.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 65

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16
4.5.2.3. പ്രോഗ്രാമിംഗ് 1. ക്വാർട്ടസ് പ്രൈമിൽ, ക്ലിക്ക് ചെയ്യുക File പ്രോഗ്രാമിംഗ് പരിവർത്തനം ചെയ്യുക Fileസെ. 2. ഔട്ട്പുട്ട് പ്രോഗ്രാമിംഗിന് കീഴിൽ file, പ്രോഗ്രാമർ ഒബ്ജക്റ്റ് തിരഞ്ഞെടുക്കുക File (.pof) പ്രോഗ്രാമിംഗ് ആയി file തരം 3. മോഡ് ഇന്റേണൽ കോൺഫിഗറേഷനായി സജ്ജമാക്കുക.
ചിത്രം 48. പ്രോഗ്രാമിംഗ് പരിവർത്തനം ചെയ്യുക File ക്രമീകരണങ്ങൾ
4. Options/Boot info... ക്ലിക്ക് ചെയ്യുക, MAX 10 Device Options വിൻഡോ ദൃശ്യമാകും. 5. ഓൺ-ചിപ്പ് ഫ്ലാഷ് ഐപിയിലെ Initialize flash content settings അടിസ്ഥാനമാക്കി,
താഴെ പറയുന്ന ഘട്ടങ്ങളിൽ ഒന്ന്: · ഫ്ലാഷ് ഉള്ളടക്കം ഇനീഷ്യലൈസ് ചെയ്യുക (രീതി 1) പരിശോധിച്ചിട്ടുണ്ടെങ്കിൽ, UFM ഇനീഷ്യലൈസേഷൻ ഡാറ്റ
ക്വാർട്ടസ് പ്രൈം സമാഹരണ സമയത്ത് SOF-ൽ ഉൾപ്പെടുത്തിയിരുന്നു. — UFM ഉറവിടത്തിനായി Page_0 തിരഞ്ഞെടുക്കുക: ഓപ്ഷൻ. ശരി ക്ലിക്ക് ചെയ്ത് അടുത്തതിലേക്ക് പോകുക.
അടുത്തത്. ചിത്രം 49. ഇനിഷ്യലൈസ് ഫ്ലാഷ് കണ്ടന്റ് ചെക്ക് ചെയ്തിട്ടുണ്ടെങ്കിൽ UFM സോഴ്‌സിനായി Page_0 സജ്ജീകരിക്കുന്നു.

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 66

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16
· ഫ്ലാഷ് ഉള്ളടക്കം ഇനീഷ്യലൈസ് ചെയ്യുക എന്നത് ചെക്ക് ചെയ്തിട്ടില്ലെങ്കിൽ (രീതി 2), മെമ്മറി ലോഡ് ചെയ്യുക തിരഞ്ഞെടുക്കുക. file UFM സോഴ്‌സ് ഓപ്ഷനായി. ജനറേറ്റുചെയ്‌ത ഓൺ-ചിപ്പ് ഫ്ലാഷ് HEX ബ്രൗസ് ചെയ്യുക. file (onchip_flash.hex) എന്നതിൽ File path: ക്ലിക്ക് ചെയ്ത് OK ക്ലിക്ക് ചെയ്യുക. ഈ ഘട്ടം SOF-ലേക്ക് പ്രത്യേകം UFM ഡാറ്റ ചേർക്കുന്നു. file പ്രോഗ്രാമിംഗ് സമയത്ത് file പരിവർത്തനം.
ചിത്രം 50. ലോഡ് മെമ്മറി സജ്ജീകരിക്കുന്നു File ഇനീഷ്യലൈസ് ഫ്ലാഷ് ഉള്ളടക്കം പരിശോധിച്ചിട്ടില്ലെങ്കിൽ UFM ഉറവിടത്തിനായി

6. കൺവേർട്ട് പ്രോഗ്രാമിംഗിൽ File ഇൻപുട്ടിൽ ഡയലോഗ് ബോക്സ് fileവിഭാഗം പരിവർത്തനം ചെയ്യാൻ, ചേർക്കുക ക്ലിക്ക് ചെയ്യുക File… ജനറേറ്റ് ചെയ്ത ക്വാർട്ടസ് പ്രൈം .sof ലേക്ക് പോയിന്റ് ചെയ്യുക file.
ചിത്രം 51. ഇൻപുട്ട് Fileകൺവേർട്ട് പ്രോഗ്രാമിംഗിൽ കൺവേർട്ട് ചെയ്യാൻ s Fileസിംഗിൾ ഇമേജ് മോഡിനുള്ള s

7. .pof സൃഷ്ടിക്കാൻ ജനറേറ്റ് ക്ലിക്ക് ചെയ്യുക. file8. .pof പ്രോഗ്രാം ചെയ്യുക file നിങ്ങളുടെ ഹാർഡ്‌വെയർ പവർ സൈക്കിൾ ചെയ്യുക.

4.5.3. ബൂട്ട് കോപ്പിയർ ഉപയോഗിച്ച് UFM-ൽ നിന്ന് RAM-ലേക്ക് പകർത്തിയ നിയോസ് V പ്രോസസർ ആപ്ലിക്കേഷൻ.

ഒന്നിലധികം ആപ്ലിക്കേഷൻ സോഫ്റ്റ്‌വെയർ വികസനവും ഉയർന്ന സിസ്റ്റം പ്രകടനവും ആവശ്യമുള്ള MAX 10 FPGA Nios V പ്രൊസസർ സിസ്റ്റം ഡിസൈനുകൾക്ക് ആൾട്ടേര ഈ പരിഹാരം ശുപാർശ ചെയ്യുന്നു. ബൂട്ട് കോപ്പിയർ UFM-നുള്ളിൽ റീസെറ്റ് വെക്റ്ററിന്റെ അതേ വിലാസത്തിലുള്ള ഒരു ഓഫ്‌സെറ്റിലാണ് സ്ഥിതി ചെയ്യുന്നത്. നിയോസ് V ആപ്ലിക്കേഷൻ ബൂട്ട് കോപ്പിയറിന് അടുത്താണ് സ്ഥിതി ചെയ്യുന്നത്.

ഈ ബൂട്ട് ഓപ്ഷനിൽ, UFM സെക്ടറിൽ നിന്ന് OCRAM-ലേക്കോ ബാഹ്യ റാമിലേക്കോ ആപ്ലിക്കേഷൻ പകർത്തുന്നതിനായി സിസ്റ്റം റീസെറ്റ് ചെയ്യുമ്പോൾ നിയോസ് V പ്രൊസസർ ബൂട്ട് കോപ്പിയർ പ്രവർത്തിപ്പിക്കാൻ തുടങ്ങുന്നു. പകർത്തൽ പൂർത്തിയായിക്കഴിഞ്ഞാൽ, നിയോസ് V പ്രൊസസർ പ്രോഗ്രാം നിയന്ത്രണം ആപ്ലിക്കേഷനിലേക്ക് മാറ്റുന്നു.

കുറിപ്പ്:

പ്രയോഗിച്ച ബൂട്ട് കോപ്പിയർ GSFI വഴിയുള്ള ബൂട്ട്ലോഡറിന് സമാനമാണ്.

ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക

Nios® V എംബഡഡ് പ്രോസസർ ഡിസൈൻ ഹാൻഡ്‌ബുക്ക് 67

4. നിയോസ് V പ്രോസസർ കോൺഫിഗറേഷനും ബൂട്ടിംഗ് സൊല്യൂഷനുകളും 726952 | 2025.07.16

ചിത്രം 52. ബൂട്ട് കോപ്പിയർ ഉപയോഗിച്ച് UFM-ൽ നിന്ന് RAM-ലേക്ക് പകർത്തിയ നിയോസ് V ആപ്ലിക്കേഷൻ.

പരമാവധി 10 ഉപകരണം

.പി.ഒ.എഫ്
നിയോസ് വി ഹാർഡ്‌വെയർ .SOF
നിയോസ് വി സോഫ്റ്റ്‌വെയർ .HEX
ബൂട്ട്ലോഡർ .SREC

ക്വാർട്ടസ് പ്രോഗ്രാമർ

ബാഹ്യ റാം
നിയോസ് വി സോഫ്റ്റ്‌വെയർ

ഓൺ-ചിപ്പ് ഫ്ലാഷ്

സി.എഫ്.എം

നിയോസ് വി ഹർദ്‌വ

പ്രമാണങ്ങൾ / വിഭവങ്ങൾ

മറ്റേറ നിയോസ് വി എംബഡഡ് പ്രോസസർ [pdf] ഉപയോക്തൃ ഗൈഡ്
നിയോസ് വി, നിയോസ് വിഎം, നിയോസ് വിജി, നിയോസ് വിസി, നിയോസ് വി എംബഡഡ് പ്രോസസർ, നിയോസ് വി, എംബഡഡ് പ്രോസസർ, പ്രോസസർ

റഫറൻസുകൾ

ഒരു അഭിപ്രായം ഇടൂ

നിങ്ങളുടെ ഇമെയിൽ വിലാസം പ്രസിദ്ധീകരിക്കില്ല. ആവശ്യമായ ഫീൽഡുകൾ അടയാളപ്പെടുത്തി *