AN 987: സ്റ്റാറ്റിക് അപ്ഡേറ്റ് ഭാഗികം
റീകോൺഫിഗറേഷൻ ട്യൂട്ടോറിയൽ
Intel® ™ Agilex F-Series FPGA ഡവലപ്മെന്റ് ബോർഡിനായുള്ള സ്റ്റാറ്റിക് അപ്ഡേറ്റ് ഭാഗിക പുനർക്രമീകരണ ട്യൂട്ടോറിയൽ
ഈ ആപ്ലിക്കേഷൻ കുറിപ്പ് Intel ® F-Series FPGA ഡെവലപ്മെന്റ് ബോർഡിൽ സ്റ്റാറ്റിക് അപ്ഡേറ്റ് ഭാഗിക പുനർക്രമീകരണം (SUPR) കാണിക്കുന്നു. ഭാഗിക പുനർക്രമീകരണം (PR) ഒരു ഇന്റൽ എഫ്പിജിഎയുടെ ഒരു ഭാഗം ഡൈനാമിക്കായി പുനഃക്രമീകരിക്കാൻ നിങ്ങളെ അനുവദിക്കുന്നു, ശേഷിക്കുന്ന FPGA പ്രവർത്തിക്കുന്നത് തുടരുന്നു. ഈ പ്രദേശത്തിന് പുറത്തുള്ള പ്രദേശങ്ങളിലെ പ്രവർത്തനത്തെ ബാധിക്കാതെ തന്നെ, നിങ്ങളുടെ രൂപകൽപ്പനയിൽ ഒരു പ്രത്യേക മേഖലയിൽ ഒന്നിലധികം വ്യക്തികളെ PR നടപ്പിലാക്കുന്നു. ഈ രീതിശാസ്ത്രം ഇനിപ്പറയുന്ന അഡ്വാൻ നൽകുന്നുtagഒന്നിലധികം ഫംഗ്ഷനുകൾ സമയ-പങ്കിടുന്ന സിസ്റ്റങ്ങളിൽ ഒരേ FPGA ഉറവിടങ്ങൾ:
- റൺ-ടൈം റീകോൺഫിഗറേഷൻ അനുവദിക്കുന്നു
- ഡിസൈൻ സ്കേലബിളിറ്റി വർദ്ധിപ്പിക്കുന്നു
- സിസ്റ്റം പ്രവർത്തനരഹിതമായ സമയം കുറയ്ക്കുന്നു
- ഡിസൈനിലെ ഡൈനാമിക് ടൈം-മൾട്ടിപ്ലക്സിംഗ് ഫംഗ്ഷനുകളെ പിന്തുണയ്ക്കുന്നു
- ബോർഡ് സ്ഥലത്തിന്റെ കാര്യക്ഷമമായ ഉപയോഗത്തിലൂടെ ചെലവും വൈദ്യുതി ഉപഭോഗവും കുറയ്ക്കുന്നു
എന്താണ് സ്റ്റാറ്റിക് അപ്ഡേറ്റ് ഭാഗിക പുനഃക്രമീകരണം?
പരമ്പരാഗത PR-ൽ, സ്റ്റാറ്റിക് റീജിയനിലേക്കുള്ള ഏത് മാറ്റത്തിനും ഓരോ വ്യക്തിയുടെയും പുനഃസംയോജനം ആവശ്യമാണ്. എന്നിരുന്നാലും, വ്യക്തികളുടെ പുനഃസംയോജനം ആവശ്യമില്ലാതെ തന്നെ മാറ്റം അനുവദിക്കുന്ന ഒരു പ്രത്യേക പ്രദേശം SUPR ഉപയോഗിച്ച് നിങ്ങൾക്ക് നിർവചിക്കാം. അപകടസാധ്യത ലഘൂകരിക്കുന്നതിനായി നിങ്ങൾ മാറ്റാൻ ആഗ്രഹിക്കുന്ന ഒരു ഡിസൈനിന്റെ ഒരു ഭാഗത്തിന് ഈ സാങ്കേതികവിദ്യ ഉപയോഗപ്രദമാണ്, എന്നാൽ അതിന് ഒരിക്കലും റൺടൈം പുനർക്രമീകരണം ആവശ്യമില്ല.
1.1 ട്യൂട്ടോറിയൽ ആവശ്യകതകൾ
ഈ ട്യൂട്ടോറിയലിന് ഇനിപ്പറയുന്നവ ആവശ്യമാണ്:
- Intel Quartus® Prime Pro Edition FPGA ഇംപ്ലിമെന്റേഷൻ ഫ്ലോയും പ്രോജക്റ്റും ഉള്ള അടിസ്ഥാന പരിചയം files.
- Intel Agilex ഉപകരണ പിന്തുണയോടെ Intel Quartus Prime Pro പതിപ്പ് 22.3-ന്റെ ഇൻസ്റ്റാളേഷൻ.
- FPGA നടപ്പിലാക്കുന്നതിനായി, ഒരു ജെTAG ബെഞ്ചിലെ Intel Agilex F-Series FPGA ഡവലപ്മെന്റ് ബോർഡുമായുള്ള ബന്ധം.
- റഫറൻസ് ഡിസൈൻ ഡൗൺലോഡ് ചെയ്യുക Fileഎസ്. ബന്ധപ്പെട്ട വിവരങ്ങൾ
- ഭാഗിക പുനഃക്രമീകരണ ഉപയോക്തൃ ഗൈഡ്
- ഭാഗിക പുനർക്രമീകരണ ട്യൂട്ടോറിയലുകൾ
- ഭാഗിക പുനഃക്രമീകരണ ഓൺലൈൻ പരിശീലനം
ഇന്റൽ കോർപ്പറേഷൻ. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം. ഇന്റൽ, ഇന്റൽ ലോഗോ, മറ്റ് ഇന്റൽ മാർക്കുകൾ എന്നിവ ഇന്റൽ കോർപ്പറേഷന്റെയോ അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളുടെയോ വ്യാപാരമുദ്രകളാണ്. ഇന്റലിന്റെ സ്റ്റാൻഡേർഡ് വാറന്റിക്ക് അനുസൃതമായി അതിന്റെ FPGA, അർദ്ധചാലക ഉൽപ്പന്നങ്ങളുടെ പ്രകടനം നിലവിലെ സ്പെസിഫിക്കേഷനുകളിലേക്ക് Intel വാറന്റ് ചെയ്യുന്നു, എന്നാൽ അറിയിപ്പ് കൂടാതെ ഏത് സമയത്തും ഏത് ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം നിക്ഷിപ്തമാണ്. Intel രേഖാമൂലം രേഖാമൂലം സമ്മതിച്ചതല്ലാതെ ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങളുടെയോ ഉൽപ്പന്നത്തിന്റെയോ സേവനത്തിന്റെയോ ആപ്ലിക്കേഷനിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന ഉത്തരവാദിത്തമോ ബാധ്യതയോ Intel ഏറ്റെടുക്കുന്നില്ല. ഏതെങ്കിലും പ്രസിദ്ധീകരിച്ച വിവരങ്ങളെ ആശ്രയിക്കുന്നതിന് മുമ്പും ഉൽപ്പന്നങ്ങൾക്കോ സേവനങ്ങൾക്കോ ഓർഡറുകൾ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സവിശേഷതകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ഇന്റൽ ഉപഭോക്താക്കളോട് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.
ISO 9001:2015 രജിസ്റ്റർ ചെയ്തു
1.2 റഫറൻസ് ഡിസൈൻ കഴിഞ്ഞുview
ഈ റഫറൻസ് ഡിസൈനിൽ ഒന്ന്, 32-ബിറ്റ് കൗണ്ടർ അടങ്ങിയിരിക്കുന്നു. ബോർഡ് തലത്തിൽ, ഡിസൈൻ ക്ലോക്കിനെ 50MHz ഉറവിടത്തിലേക്ക് ബന്ധിപ്പിക്കുന്നു, തുടർന്ന് ഔട്ട്പുട്ട് ബോർഡിലെ നാല് LED-കളിലേക്ക് ബന്ധിപ്പിക്കുന്നു. കൌണ്ടർ ബിറ്റുകളിൽ നിന്ന് ഔട്ട്പുട്ട് തിരഞ്ഞെടുക്കുന്നത്, ഒരു പ്രത്യേക ക്രമത്തിൽ, ഒരു പ്രത്യേക ആവൃത്തിയിൽ LED- കൾ മിന്നിമറയുന്നതിന് കാരണമാകുന്നു. ടോപ്പ്_കൗണ്ടർ മൊഡ്യൂൾ SUPR മേഖലയാണ്.
ചിത്രം 1. ഫ്ലാറ്റ് റഫറൻസ് ഡിസൈൻ
1.3 സ്റ്റാറ്റിക് അപ്ഡേറ്റ് റീജിയൻ കഴിഞ്ഞുview
ഒരു SUPR മേഖല ഉൾപ്പെടുന്ന ഒരു PR ഡിസൈനിനായുള്ള ബ്ലോക്ക് ഡയഗ്രം ഇനിപ്പറയുന്ന ചിത്രം കാണിക്കുന്നു. ബ്ലോക്ക് എ ആണ് ടോപ്പ് സ്റ്റാറ്റിക് മേഖല. B ബ്ലോക്ക് SUPR മേഖലയാണ്. ബ്ലോക്ക് സി എന്നത് പിആർ പാർട്ടീഷൻ ആണ്.
ചിത്രം 2. SUPR മേഖലയുമായുള്ള പിആർ ഡിസൈൻ
- ഒരു ടോപ്പ് സ്റ്റാറ്റിക് റീജിയൻ - മാറ്റമില്ലാത്ത ഡിസൈൻ ലോജിക് അടങ്ങിയിരിക്കുന്നു. ഈ മേഖല മാറ്റുന്നതിന് ബന്ധപ്പെട്ട എല്ലാ വ്യക്തികളുടെയും പുനഃസംയോജനം ആവശ്യമാണ്. ഒരു വ്യക്തിക്കും മാറ്റമില്ലാത്ത ഡിസൈനിന്റെ ഭാഗം സ്റ്റാറ്റിക് മേഖലയിൽ ഉൾപ്പെടുന്നു. ഈ മേഖലയിൽ പെരിഫറിയും പ്രധാന ഉപകരണ ഉറവിടങ്ങളും ഉൾപ്പെടാം. സ്റ്റാറ്റിക് റീജിയണിലെ SUPR, PR പാർട്ടീഷനുകൾ തമ്മിലുള്ള എല്ലാ ആശയവിനിമയങ്ങളും നിങ്ങൾ രജിസ്റ്റർ ചെയ്യണം. സ്റ്റാറ്റിക് റീജിയനുമായി ബന്ധപ്പെട്ട് ഏതൊരു വ്യക്തിക്കും ടൈമിംഗ് ക്ലോഷർ ഉറപ്പാക്കാൻ ഈ ആവശ്യകത സഹായിക്കുന്നു.
- B SUPR റീജിയൻ-റിസ്ക് ലഘൂകരണത്തിനായി മാറിയേക്കാവുന്ന കോർ-ഒൺലി ലോജിക് അടങ്ങിയിരിക്കുന്നു, എന്നാൽ ഒരിക്കലും റൺടൈം റീകോൺഫിഗറേഷൻ ആവശ്യമില്ല. SUPR മേഖലയ്ക്ക് PR പാർട്ടീഷന്റെ അതേ ആവശ്യകതകളും നിയന്ത്രണങ്ങളും ഉണ്ട്. SUPR പാർട്ടീഷനിൽ പ്രധാന ഉറവിടങ്ങൾ മാത്രമേ ഉൾക്കൊള്ളാൻ കഴിയൂ. അതിനാൽ, SUPR പാർട്ടീഷൻ, ഡിസൈൻ പെരിഫറിയും ക്ലോക്കുകളും അടങ്ങുന്ന ടോപ്പ്-ലെവൽ റൂട്ട് പാർട്ടീഷന്റെ ചൈൽഡ് പാർട്ടീഷൻ ആയിരിക്കണം. SUPR മേഖല മാറ്റുന്നത് ഒരു SRAM ഒബ്ജക്റ്റ് ഉണ്ടാക്കുന്നു File (.sof) അത് നിലവിലുള്ള എല്ലാ കംപൈൽ ചെയ്ത റോ ബൈനറിയുമായി പൊരുത്തപ്പെടുന്നു File (.rbf) fileപിആർ പാർട്ടീഷൻ സിക്കുള്ള എസ്.
- C PR പാർട്ടീഷൻ—സമാഹരണ വേളയിൽ ടൈമിംഗ് ക്ലോഷറിന് അനുയോജ്യമായ ഏത് ഡിസൈൻ ലോജിക്കും ഉപയോഗിച്ച് നിങ്ങൾക്ക് റൺടൈമിൽ റീപ്രോഗ്രാം ചെയ്യാൻ കഴിയുന്ന ഏകപക്ഷീയമായ ലോജിക് അടങ്ങിയിരിക്കുന്നു.
1.4 റഫറൻസ് ഡിസൈൻ ഡൗൺലോഡ് ചെയ്യുക Files
ഭാഗിക പുനഃക്രമീകരണ ട്യൂട്ടോറിയൽ ഇനിപ്പറയുന്ന സ്ഥലത്ത് ലഭ്യമാണ്: https://github.com/intel/fpga-partial-reconfig
ട്യൂട്ടോറിയൽ ഡൗൺലോഡ് ചെയ്യാൻ:
- ക്ലോൺ ക്ലിക്ക് ചെയ്യുക അല്ലെങ്കിൽ ഡൗൺലോഡ് ചെയ്യുക.
- ZIP ഡൗൺലോഡ് ക്ലിക്ക് ചെയ്യുക. fpga-partial-reconfig-master.zip അൺസിപ്പ് ചെയ്യുക file.
- റഫറൻസ് ഡിസൈൻ ആക്സസ് ചെയ്യുന്നതിന് ട്യൂട്ടോറിയലുകൾ/agilex_pcie_devkit_blinking_led_supr സബ്ഫോൾഡറിലേക്ക് നാവിഗേറ്റ് ചെയ്യുക.
ഫ്ലാറ്റ് ഫോൾഡറിൽ ഇനിപ്പറയുന്നവ അടങ്ങിയിരിക്കുന്നു files:
പട്ടിക 1. റഫറൻസ് ഡിസൈൻ Files
File പേര് | വിവരണം |
മുകളിൽ. sv | ടോപ്പ് ലെവൽ file ഡിസൈനിന്റെ പരന്ന നിർവ്വഹണം ഉൾക്കൊള്ളുന്നു. ഈ മൊഡ്യൂൾ ബ്ലിങ്കിംഗ്_ലെഡ് സബ്-പാർട്ടീഷനെയും ടോപ്പ്_കൗണ്ടർ മൊഡ്യൂളിനെയും തൽക്ഷണം ചെയ്യുന്നു. |
ടി op_counter . sv | LED [32] നേരിട്ട് നിയന്ത്രിക്കുന്ന ടോപ്പ്-ലെവൽ 1-ബിറ്റ് കൗണ്ടർ. കൗണ്ടറിന്റെ രജിസ്റ്റർ ചെയ്ത ഔട്ട്പുട്ട് LED [0] എന്നിവയെ നിയന്ത്രിക്കുന്നു, കൂടാതെ blinking_led മൊഡ്യൂൾ വഴി LED [2], LED [3] എന്നിവയും പവർ ചെയ്യുന്നു. |
മിന്നിമറയുന്നു. എസ്ഡിസി | പ്രോജക്റ്റിനുള്ള സമയ നിയന്ത്രണങ്ങൾ നിർവചിക്കുന്നു. |
മിന്നിമറയുന്നു. sv | ഈ ട്യൂട്ടോറിയലിൽ, നിങ്ങൾ ഈ മൊഡ്യൂളിനെ ഒരു പാരന്റ് പിആർ പാർട്ടീഷനാക്കി മാറ്റുന്നു. LED [2], LED [3] എന്നിവ നിയന്ത്രിക്കുന്ന top_counter മൊഡ്യൂളിന്റെ രജിസ്റ്റർ ചെയ്ത ഔട്ട്പുട്ട് മൊഡ്യൂളിന് ലഭിക്കുന്നു. |
blinking_led.qpf | ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് file പ്രോജക്റ്റിലെ എല്ലാ പുനരവലോകനങ്ങളുടെയും ലിസ്റ്റ് അടങ്ങിയിരിക്കുന്നു. |
ബ്ലിങ്കിംഗ്_ലെഡ്. qs f | ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ക്രമീകരണങ്ങൾ file പ്രോജക്റ്റിനായുള്ള അസൈൻമെന്റുകളും ക്രമീകരണങ്ങളും അടങ്ങിയിരിക്കുന്നു. |
കുറിപ്പ്: supr ഫോൾഡറിൽ പൂർണ്ണമായ സെറ്റ് അടങ്ങിയിരിക്കുന്നു fileഈ ആപ്ലിക്കേഷൻ ഉപയോഗിച്ച് നിങ്ങൾ സൃഷ്ടിക്കുന്നത് കുറിപ്പ്. ഇവ പരാമർശിക്കുക fileനടപ്പാതയിൽ ഏത് സമയത്തും എസ്.
1.5 റഫറൻസ് ഡിസൈൻ വാക്ക്ത്രൂ
ഒരു ഫ്ലാറ്റ് ഡിസൈൻ ഉപയോഗിച്ച് SUPR നടപ്പിലാക്കുന്നതിനെ ഇനിപ്പറയുന്ന ഘട്ടങ്ങൾ വിവരിക്കുന്നു:
- ഘട്ടം 1: ആരംഭിക്കുന്നു
- ഘട്ടം 2: ഡിസൈൻ പാർട്ടീഷനുകൾ സൃഷ്ടിക്കുക
- ഘട്ടം 3: പ്ലേസ്മെന്റ്, റൂട്ടിംഗ് മേഖലകൾ അനുവദിക്കുക
- ഘട്ടം 4: വ്യക്തികളെ നിർവചിക്കുക
- ഘട്ടം 5: പുനരവലോകനങ്ങൾ സൃഷ്ടിക്കുക
- ഘട്ടം 6: അടിസ്ഥാന പുനരവലോകനം കംപൈൽ ചെയ്യുക
- ഘട്ടം 7: പിആർ നടപ്പിലാക്കൽ പുനരവലോകനങ്ങൾ സജ്ജീകരിക്കുക
- ഘട്ടം 8: SUPR ലോജിക് മാറ്റുക
- ഘട്ടം 9: ബോർഡ് പ്രോഗ്രാം ചെയ്യുക
ചിത്രം 3. SUPR കംപൈലേഷൻ ഫ്ലോ
1.5.1. ഘട്ടം 1: ആരംഭിക്കുന്നു
റഫറൻസ് ഡിസൈൻ പകർത്താൻ fileനിങ്ങളുടെ പ്രവർത്തന പരിതസ്ഥിതിയിലേക്ക് പോയി ബ്ലിങ്കിംഗ്_ലെഡ് ഫ്ലാറ്റ് ഡിസൈൻ കംപൈൽ ചെയ്യുക:
- നിങ്ങൾ ആരംഭിക്കുന്നതിന് മുമ്പ്, റഫറൻസ് ഡിസൈൻ ഡൗൺലോഡ് ചെയ്യുക Fileപേജ് 5-ൽ എസ്.
- നിങ്ങളുടെ പ്രവർത്തന പരിതസ്ഥിതിയിൽ agilex_pcie_devkit_blinking_led_supr ഡയറക്ടറി സൃഷ്ടിക്കുക.
- ഡൗൺലോഡ് ചെയ്ത ട്യൂട്ടോറിയലുകൾ/agilex_pcie_devkit_blinking_led/flat സബ് ഫോൾഡർ agilex_pcie_devkit_blinking_led_supr ഡയറക്ടറിയിലേക്ക് പകർത്തുക.
- Intel Quartus Prime Pro Edition സോഫ്റ്റ്വെയറിൽ ക്ലിക്ക് ചെയ്യുക File ➤ പ്രോജക്റ്റ് തുറന്ന് /flat/blinking_led.qpf തുറക്കുക.
- അടിസ്ഥാന ഡിസൈൻ കംപൈൽ ചെയ്യാൻ, പ്രോസസ്സിംഗ് ➤ കംപൈലേഷൻ ആരംഭിക്കുക ക്ലിക്കുചെയ്യുക. സമാഹരണം പൂർത്തിയാകുമ്പോൾ ടൈമിംഗ് അനലൈസർ റിപ്പോർട്ടുകൾ സ്വയമേവ തുറക്കും. നിങ്ങൾക്ക് ഇപ്പോൾ ടൈമിംഗ് അനലൈസർ അടയ്ക്കാം.
1.5.2. ഘട്ടം 2: ഡിസൈൻ പാർട്ടീഷനുകൾ സൃഷ്ടിക്കുക
നിങ്ങൾ ഭാഗികമായി പുനഃക്രമീകരിക്കാൻ ആഗ്രഹിക്കുന്ന ഓരോ പ്രദേശത്തിനും ഡിസൈൻ പാർട്ടീഷനുകൾ സൃഷ്ടിക്കുക. നിങ്ങളുടെ പ്രോജക്റ്റിൽ നിങ്ങൾക്ക് സ്വതന്ത്ര പാർട്ടീഷനുകളോ പിആർ മേഖലകളോ സൃഷ്ടിക്കാൻ കഴിയും. u_blinking_led ഉദാഹരണത്തിനായി PR പാർട്ടീഷനായും u_top_counter ഉദാഹരണത്തിനായി SUPR മേഖലയായും ഡിസൈൻ പാർട്ടീഷനുകൾ സൃഷ്ടിക്കുന്നതിന് ഈ ഘട്ടങ്ങൾ പാലിക്കുക:
- പ്രോജക്റ്റ് നാവിഗേറ്ററിലെ u_blinking_led ഇൻസ്റ്റൻസിൽ വലത്-ക്ലിക്കുചെയ്ത് ഡിസൈൻ പാർട്ടീഷൻ ക്ലിക്ക് ചെയ്യുക
➤ പുനഃക്രമീകരിക്കാവുന്നതാണ്. ഒരു പാർട്ടീഷനായി സജ്ജീകരിച്ചിരിക്കുന്ന ഓരോ സന്ദർഭത്തിനും അടുത്തായി ഒരു ഡിസൈൻ പാർട്ടീഷൻ ഐക്കൺ ദൃശ്യമാകുന്നു.
ചിത്രം 4. ഡിസൈൻ പാർട്ടീഷനുകൾ സൃഷ്ടിക്കുന്നു - u_top_counter ഉദാഹരണത്തിനായി ഒരു പാർട്ടീഷൻ സൃഷ്ടിക്കാൻ ഘട്ടം 1 ആവർത്തിക്കുക.
- അസൈൻമെന്റുകൾ ➤ ഡിസൈൻ പാർട്ടീഷനുകൾ വിൻഡോ ക്ലിക്ക് ചെയ്യുക. പ്രോജക്റ്റിലെ എല്ലാ ഡിസൈൻ പാർട്ടീഷനുകളും വിൻഡോ പ്രദർശിപ്പിക്കുന്നു.
ചിത്രം 5. ഡിസൈൻ പാർട്ടീഷനുകളുടെ വിൻഡോ
- pr_partition എന്ന് പുനർനാമകരണം ചെയ്യുന്നതിന് blinking_led പാർട്ടീഷൻ നെയിം സെല്ലിൽ ഡബിൾ ക്ലിക്ക് ചെയ്യുക. അതുപോലെ, top_counter പാർട്ടീഷൻ supr_partition എന്ന് പുനർനാമകരണം ചെയ്യുക.
പകരമായി, blinking_led.qsf-ലേക്ക് ഇനിപ്പറയുന്ന വരികൾ ചേർക്കുന്നത് ഈ പാർട്ടീഷനുകൾ സൃഷ്ടിക്കുന്നു:
set_instance_assignment -name PARTITION pr_partition \ -to u_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -name PARTITION supr_partition \ -to u_top_counter -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_top_counter -entity top
1.5.3. ഘട്ടം 3: പ്ലേസ്മെന്റ്, റൂട്ടിംഗ് മേഖലകൾ അനുവദിക്കുക
നിങ്ങൾ സൃഷ്ടിക്കുന്ന ഓരോ അടിസ്ഥാന പുനരവലോകനത്തിനും, സംവരണം ചെയ്ത പ്രദേശത്ത് അനുബന്ധ പേഴ്സണ കോർ സ്ഥാപിക്കുന്നതിന് കംപൈലർ PR പാർട്ടീഷൻ റീജിയൻ അലോക്കേഷൻ ഉപയോഗിക്കുന്നു. നിങ്ങളുടെ അടിസ്ഥാന പുനരവലോകനത്തിനായി ഉപകരണ ഫ്ലോർപ്ലാനിൽ ഒരു PR മേഖല കണ്ടെത്തുന്നതിനും അസൈൻ ചെയ്യുന്നതിനും ഈ ഘട്ടങ്ങൾ പാലിക്കുക:
- പ്രൊജക്റ്റ് നാവിഗേറ്റർ ഹൈറാർക്കി ടാബിൽ, u_blinking_led ഇൻസ്റ്റൻസിൽ വലത്-ക്ലിക്ക് ചെയ്യുക, തുടർന്ന് Logic Lock Region ➤ Create New Logic Lock Region ക്ലിക്ക് ചെയ്യുക. ലോജിക് ലോക്ക് റീജിയൻസ് വിൻഡോയിൽ മേഖല ദൃശ്യമാകുന്നു.
- ഒരു പ്രദേശത്തിന്റെ വീതി 5 ഉം ഉയരം 5 ഉം വ്യക്തമാക്കുക.
- ഒറിജിൻ കോളത്തിൽ u_blinking_led എന്നതിനായുള്ള പ്ലേസ്മെന്റ് മേഖല കോർഡിനേറ്റുകൾ വ്യക്തമാക്കുക. ഉത്ഭവം പ്രദേശത്തിന്റെ താഴെ ഇടത് കോണുമായി യോജിക്കുന്നു. ഉത്ഭവം X166_Y199 ആയി വ്യക്തമാക്കുക. മുകളിൽ-വലത് കോർഡിനേറ്റായി കമ്പൈലർ (X170 Y203) കണക്കാക്കുന്നു.
- മേഖലയ്ക്കായി റിസർവ് ചെയ്തതും കോർ-ഒൺലി ഓപ്ഷനുകളും പ്രവർത്തനക്ഷമമാക്കുക.
- റൂട്ടിംഗ് റീജിയൻ ഓപ്ഷനിൽ ഡബിൾ ക്ലിക്ക് ചെയ്യുക. Logic Lock Routing Region Settings ഡയലോഗ് ബോക്സ് ദൃശ്യമാകുന്നു.
- റൂട്ടിംഗ് തരത്തിനായി, വിപുലീകരണത്തിനൊപ്പം ഫിക്സഡ് തിരഞ്ഞെടുക്കുക. ഈ ഓപ്ഷൻ സ്വയമേവ ഒന്നിന്റെ വിപുലീകരണ ദൈർഘ്യം നൽകുന്നു.
- u_top_counter പാർട്ടീഷനായി ഇനിപ്പറയുന്ന ഉറവിടങ്ങൾ അനുവദിക്കുന്നതിന് മുമ്പത്തെ ഘട്ടങ്ങൾ ആവർത്തിക്കുക:
• ഉയരം-5
• വീതി-5
• ഉത്ഭവം-X173_Y199
• റൂട്ടിംഗ് മേഖല- ഒന്നിന്റെ വിപുലീകരണ ദൈർഘ്യത്തോടുകൂടിയ വിപുലീകരണത്തോടുകൂടിയാണ് നിശ്ചയിച്ചിരിക്കുന്നത്.
• റിസർവ് ചെയ്തത്-ഓൺ
• കോർ-ഒൺലി-ഓൺ
ചിത്രം 6. ലോജിക് ലോക്ക് റീജിയൻസ് വിൻഡോ
കുറിപ്പ്: കമ്പൈലറിന്റെ റൂട്ടിംഗുകൾക്ക് അധിക ഫ്ലെക്സിബിലിറ്റി നൽകുന്നതിന് റൂട്ടിംഗ് മേഖല പ്ലേസ്മെന്റ് മേഖലയേക്കാൾ വലുതായിരിക്കണം.tagഇ, കംപൈലർ വ്യത്യസ്ത വ്യക്തികളെ റൂട്ട് ചെയ്യുമ്പോൾ.
- നിങ്ങളുടെ പ്ലെയ്സ്മെന്റ് പ്രദേശം ബ്ലിങ്കിംഗ്_ലെഡ് ലോജിക് ഉൾപ്പെടുത്തണം. ചിപ്പ് പ്ലാനറിൽ നോഡ് കണ്ടെത്തുന്നതിലൂടെ പ്ലേസ്മെന്റ് മേഖല തിരഞ്ഞെടുക്കുന്നതിന്, ലോജിക് ലോക്ക് റീജിയൻസ് വിൻഡോയിലെ u_blinking_led റീജിയൻ നാമത്തിൽ വലത്-ക്ലിക്ക് ചെയ്യുക, തുടർന്ന് നോഡ് കണ്ടെത്തുക ➤ ചിപ്പ് പ്ലാനറിൽ ലൊക്കേറ്റ് ചെയ്യുക ക്ലിക്കുചെയ്യുക.
- പാർട്ടീഷൻ റിപ്പോർട്ടുകൾക്ക് കീഴിൽ, റിപ്പോർട്ട് ഡിസൈൻ പാർട്ടീഷനുകൾ ഡബിൾ ക്ലിക്ക് ചെയ്യുക. ചിപ്പ് പ്ലാനർ പ്രദേശത്തെ ഹൈലൈറ്റ് ചെയ്യുകയും കളർ കോഡ് ചെയ്യുകയും ചെയ്യുന്നു.
ചിത്രം 7. blinking_led-നുള്ള ചിപ്പ് പ്ലാനർ നോഡ് ലൊക്കേഷൻ
പകരമായി, blinking_led.qsf-ലേക്ക് ഇനിപ്പറയുന്ന വരികൾ ചേർക്കുന്നത് ഈ പ്രദേശങ്ങൾ സൃഷ്ടിക്കുന്നു:
set_instance_assignment -name PARTITION pr_partition -to \ u_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -name PARTITION supr_partition -to u_top_counter \ -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ഓൺ - to \ u_top_counter -entity top
set_instance_assignment -name PLACE_REGION “X166 Y199 X170 Y203” -to \ u_blinking_led
set_instance_assignment -name RESERVE_PLACE_REGION ON - to u_blinking_led
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON - to u_blinking_led
set_instance_assignment -name REGION_NAME pr_partition -to u_blinking_led
set_instance_assignment -name ROUTE_REGION “X165 Y198 X171 Y204” - to \ u_blinking_led
set_instance_assignment -name RESERVE_ROUTE_REGION ഓഫ് - to u_blinking_led
set_instance_assignment -name PLACE_REGION “X173 Y199 X177 Y203” -to \ u_top_counter
set_instance_assignment -name RESERVE_PLACE_REGION ഓൺ - to u_top_counter
set_instance_assignment -name CORE_ONLY_PLACE_REGION ഓൺ - to u_top_counter
set_instance_assignment -name REGION_NAME supr_partition - to u_top_counter
set_instance_assignment -name ROUTE_REGION “X172 Y198 X178 Y204” -to \ u_top_counter
set_instance_assignment -name RESERVE_ROUTE_REGION ഓഫ് - to u_top_counter
1.5.4. ഘട്ടം 4: വ്യക്തികളെ നിർവചിക്കുക
ഈ റഫറൻസ് ഡിസൈൻ സിംഗിൾ പിആർ പാർട്ടീഷനായി മൂന്ന് വ്യത്യസ്ത വ്യക്തിത്വങ്ങളും SUPR മേഖലയ്ക്ക് ഒരു SUPR വ്യക്തിത്വവും നിർവചിക്കുന്നു. നിങ്ങളുടെ പ്രോജക്റ്റിൽ ഈ വ്യക്തികളെ നിർവചിക്കാനും ഉൾപ്പെടുത്താനും ഈ ഘട്ടങ്ങൾ പാലിക്കുക. ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ടെക്സ്റ്റ് എഡിറ്റർ ഉപയോഗിക്കുകയാണെങ്കിൽ, ചേർക്കുക പ്രവർത്തനരഹിതമാക്കുക file
സംരക്ഷിക്കുമ്പോൾ നിലവിലെ പ്രോജക്റ്റിലേക്ക് files.
- പുതിയ blinking_led_slow.sv, blinking_led_empty.sv, top_counter_fast.sv SystemVerilog എന്നിവ സൃഷ്ടിക്കുക fileനിങ്ങളുടെ പ്രവർത്തന ഡയറക്ടറിയിൽ ഉണ്ട്. പ്രവർത്തിക്കുന്ന ഡയറക്ടറിയിൽ blinking_led.sv ഇതിനകം ഉണ്ടെന്ന് സ്ഥിരീകരിക്കുക.
- SystemVerilog-നായി ഇനിപ്പറയുന്ന ഉള്ളടക്കങ്ങൾ നൽകുക files:
പട്ടിക 2. റഫറൻസ് ഡിസൈൻ വ്യക്തികളുടെ സിസ്റ്റംവെരിലോഗ്
File പേര് വിവരണം കോഡ് മിന്നുന്ന_ലെഡ്_സ്ലോ. sv LED-കൾ പതുക്കെ മിന്നുന്നു ടൈംസ്കെയിൽ 1 ps / 1 ps 'default_nettype ഒന്നുമില്ല
മൊഡ്യൂൾ blinking_led_slow // ക്ലോക്ക്
ഇൻപുട്ട് വയർ ക്ലോക്ക്, ഇൻപുട്ട് വയർ റീസെറ്റ്, ഇൻപുട്ട് വയർ [31:01 കൗണ്ടർ,
// LED-കളുടെ ഔട്ട്പുട്ട് വയർ led_two_on-നുള്ള നിയന്ത്രണ സിഗ്നലുകൾ,
ഔട്ട്പുട്ട് വയർ led_three_on ലോക്കൽപരം COUNTER_TAP = 27;
റെജി led_two_on_r; ലെഗ് led_three_on_r; അസൈൻ led_two_on = led_two_on_r; അസൈൻ led_three_on = led_three_on_r; always_ff @(posedge clock) led_two_on_r <= counter[COUNTER_TAP] ആരംഭിക്കുന്നു; led_three_on_r <= കൗണ്ടർ[COUNTER_TAP]; അവസാനം endmoduleബ്ലിങ്കിംഗ്_ലെഡ്_ശൂന്യം. sv LED-കൾ ഓണായിരിക്കുക ടൈംസ്കെയിൽ 1 ps / 1 ps 'default_nettype none module blinking_led_empty( // ക്ലോക്ക് ഇൻപുട്ട് വയർ ക്ലോക്ക്, ഇൻപുട്ട് വയർ റീസെറ്റ്, ഇൻപുട്ട് വയർ [31:01 കൗണ്ടർ, // LEC-യുടെ ഔട്ട്പുട്ട് വയർ led_two_on, ഔട്ട്പുട്ട് വയർ led_three_on എന്നിവയ്ക്കായുള്ള നിയന്ത്രണ സിഗ്നലുകൾ തുടർന്നു… File പേര് വിവരണം കോഡ് // LED സജീവമാണ് കുറഞ്ഞ അസൈൻ led_two_on = l'IDO; led_three_on = 11b0 അസൈൻ ചെയ്യുക; എൻഡ് മൊഡ്യൂൾ top_counter_fast.sv രണ്ടാം SUPR 'ടൈംസ്കെയിൽ 1 ps / 1 ps വ്യക്തിത്വം Thdefault_nettype none module top_counter_fast // LED-കളുടെ ഔട്ട്പുട്ട് വയർ led_one_on, ഔട്ട്പുട്ട് വയർ [31:0] എണ്ണം, // ക്ലോക്ക് ഇൻപുട്ട് വയർ ക്ലോക്കിനുള്ള സിഗ്നലുകൾ നിയന്ത്രിക്കുക ); ലോക്കൽപരം കൗണ്ടർ ടാപ്പ് = 23; reg [31:0] count_d; എണ്ണം അസൈൻ ചെയ്യുക = count_d; അസൈൻ led_one_on = ount_d[COUNTER_TAP]; always_ff @(posedge clock) ആരംഭിക്കുക count_d <= count_d + 2; അവസാനിക്കുന്നു .: മൊഡ്യൂൾ - ക്ലിക്ക് ചെയ്യുക File ➤ ഇങ്ങനെ സേവ് ചെയ്ത് .sv സംരക്ഷിക്കുക fileനിലവിലെ പ്രോജക്റ്റ് ഡയറക്ടറിയിൽ എസ്.
1.5.5. ഘട്ടം 5: പുനരവലോകനങ്ങൾ സൃഷ്ടിക്കുക
PR ഡിസൈൻ ഫ്ലോ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയറിലെ പ്രോജക്റ്റ് റിവിഷൻ ഫീച്ചർ ഉപയോഗിക്കുന്നു. നിങ്ങളുടെ പ്രാരംഭ രൂപകൽപ്പന അടിസ്ഥാന പുനരവലോകനമാണ്, അവിടെ നിങ്ങൾ എഫ്പിജിഎയിലെ സ്റ്റാറ്റിക് റീജിയൻ അതിരുകളും വീണ്ടും ക്രമീകരിക്കാവുന്ന പ്രദേശങ്ങളും നിർവചിക്കുന്നു. അടിസ്ഥാന പുനരവലോകനത്തിൽ നിന്ന്, നിങ്ങൾ അധിക പുനരവലോകനങ്ങൾ സൃഷ്ടിക്കുന്നു. ഈ പുനരവലോകനങ്ങളിൽ പിആർ മേഖലകൾക്കായുള്ള വ്യത്യസ്ത നിർവ്വഹണങ്ങൾ അടങ്ങിയിരിക്കുന്നു. എന്നിരുന്നാലും, എല്ലാ PR നടപ്പിലാക്കൽ പുനരവലോകനങ്ങളും അടിസ്ഥാന പുനരവലോകനത്തിൽ നിന്നുള്ള ഉയർന്ന തലത്തിലുള്ള പ്ലെയ്സ്മെന്റും റൂട്ടിംഗ് ഫലങ്ങളും ഉപയോഗിക്കുന്നു. ഒരു PR ഡിസൈൻ കംപൈൽ ചെയ്യുന്നതിന്, ഓരോ വ്യക്തിക്കും വേണ്ടി നിങ്ങൾ ഒരു PR നടപ്പിലാക്കൽ പുനരവലോകനം സൃഷ്ടിക്കുന്നു. കൂടാതെ, ഓരോ പുനരവലോകനത്തിനും നിങ്ങൾ ഭാഗിക പുനർക്രമീകരണം - ബേസ് അല്ലെങ്കിൽ ഭാഗിക പുനർക്രമീകരണം - പേഴ്സണ ഇംപ്ലിമെന്റേഷൻ റിവിഷൻ തരം നൽകണം. ഓരോ പുനരവലോകനത്തിന്റെയും പുനരവലോകന നാമവും പുനരവലോകന തരവും ഇനിപ്പറയുന്ന പട്ടിക പട്ടികപ്പെടുത്തുന്നു. Impl_blinking_led_supr_new.qsf പുനരവലോകനം SUPR വ്യക്തിത്വ നിർവ്വഹണമാണ്.
പട്ടിക 3. പുനരവലോകന നാമങ്ങളും തരങ്ങളും
പുനരവലോകന നാമം | റിവിഷൻ തരം |
മിന്നിമറയുന്നു | ഭാഗിക പുനർക്രമീകരണം - അടിസ്ഥാനം |
blinking_led_default | ഭാഗികമായ പുനർക്രമീകരണം - വ്യക്തിത്വം നടപ്പിലാക്കൽ |
മിന്നുന്ന_ലെഡ്_സ്ലോ | ഭാഗികമായ പുനർക്രമീകരണം - വ്യക്തിത്വം നടപ്പിലാക്കൽ |
ബ്ലിങ്കിംഗ്_ലെഡ്_ശൂന്യം | ഭാഗികമായ പുനർക്രമീകരണം - വ്യക്തിത്വം നടപ്പിലാക്കൽ |
impl_blinking_led_supr_new | ഭാഗികമായ പുനർക്രമീകരണം - വ്യക്തിത്വം നടപ്പിലാക്കൽ |
1.5.5.1. അടിസ്ഥാന പുനരവലോകനം ക്രമീകരിക്കുന്നു
blinking_led അടിസ്ഥാന പുനരവലോകനമായി സജ്ജീകരിക്കാൻ ഈ ഘട്ടങ്ങൾ പാലിക്കുക:
- പ്രൊജക്റ്റ് ➤ റിവിഷനുകൾ ക്ലിക്ക് ചെയ്യുക.
- റിവിഷൻ തരത്തിനായി, ഭാഗിക പുനർക്രമീകരണം - അടിസ്ഥാനം തിരഞ്ഞെടുക്കുക.
ഈ ഘട്ടം blinking_led.qsf-ലേക്ക് ഇനിപ്പറയുന്നവ ചേർക്കുന്നു:
##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
1.5.5.2. നടപ്പാക്കൽ പുനരവലോകനങ്ങൾ സൃഷ്ടിക്കുന്നു
നടപ്പിലാക്കൽ പുനരവലോകനങ്ങൾ സൃഷ്ടിക്കാൻ ഈ ഘട്ടങ്ങൾ പാലിക്കുക:
- പുനരവലോകന ഡയലോഗ് ബോക്സിൽ, < ഡബിൾ ക്ലിക്ക് ചെയ്യുക >.
- പുനരവലോകന നാമത്തിൽ, blinking_led_default വ്യക്തമാക്കുകയും പുനരവലോകനത്തെ അടിസ്ഥാനമാക്കി blinking_led തിരഞ്ഞെടുക്കുക.
- പുനരവലോകന തരത്തിനായി, ഭാഗിക പുനർക്രമീകരണം - വ്യക്തിത്വ ഇംപ്ലിമെന്റേഷൻ തിരഞ്ഞെടുക്കുക.
- നിലവിലെ പുനരവലോകന ഓപ്ഷനായി സജ്ജമാക്കുക എന്നത് പ്രവർത്തനരഹിതമാക്കുക.
- മറ്റ് നടപ്പിലാക്കൽ പുനരവലോകനങ്ങൾക്കായി റിവിഷൻ തരം സജ്ജീകരിക്കുന്നതിന് 2 മുതൽ 5 വരെയുള്ള ഘട്ടങ്ങൾ ആവർത്തിക്കുക:
പുനരവലോകന നാമം | റിവിഷൻ തരം | റിവിസിയോയെ അടിസ്ഥാനമാക്കിn |
മിന്നുന്ന_ലെഡ്_സ്ലോ | ഭാഗികമായ പുനർക്രമീകരണം - വ്യക്തിത്വം നടപ്പിലാക്കൽ | മിന്നിമറയുന്നു |
ബ്ലിങ്കിംഗ്_ലെഡ്_ശൂന്യം | ഭാഗികമായ പുനർക്രമീകരണം - വ്യക്തിത്വം നടപ്പിലാക്കൽ | മിന്നിമറയുന്നു |
impl_blinking_led_supr_new | ഭാഗികമായ പുനർക്രമീകരണം - വ്യക്തിത്വം നടപ്പിലാക്കൽ | മിന്നിമറയുന്നു |
ചിത്രം 8. നടപ്പിലാക്കൽ പുനരവലോകനങ്ങൾ സൃഷ്ടിക്കുന്നു
ഓരോ .qsf file ഇപ്പോൾ ഇനിപ്പറയുന്ന അസൈൻമെന്റ് അടങ്ങിയിരിക്കുന്നു:
set_global_assignment -name REVISION_TYPE PR_IMPL
set_instance_assignment -name ENTITY_REBINDING place_holder - to u_top_counter
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_blinking_led
1.5.6. ഘട്ടം 6: അടിസ്ഥാന പുനരവലോകനം കംപൈൽ ചെയ്യുക
അടിസ്ഥാന പുനരവലോകനം കംപൈൽ ചെയ്യുന്നതിനും സ്റ്റാറ്റിക്, SUPR റീജിയണുകൾ എക്സ്പോർട്ട് ചെയ്യുന്നതിനും ഈ ഘട്ടങ്ങൾ പാലിക്കുക, പുതിയ PR വ്യക്തികൾക്കായി നടപ്പിലാക്കുന്ന പുനരവലോകനങ്ങളിൽ പിന്നീടുള്ള ഉപയോഗത്തിനായി:
- ഇതിനകം സജ്ജീകരിച്ചിട്ടില്ലെങ്കിൽ, blinking_led നിലവിലെ പുനരവലോകനമായി സജ്ജമാക്കുക.
- ഡിസൈൻ പാർട്ടീഷനുകളുടെ വിൻഡോയിൽ, ഏറ്റവും വലത് കോളത്തോട് ചേർന്നുള്ള (...) ക്ലിക്ക് ചെയ്ത് പോസ്റ്റ് ഫൈനൽ എക്സ്പോർട്ട് പ്രവർത്തനക്ഷമമാക്കുക. File കോളം. നിങ്ങൾക്ക് നിരകളുടെ ക്രമം പ്രവർത്തനരഹിതമാക്കാനോ മാറ്റാനോ കഴിയും.
- ഓരോ സമാഹാരത്തിനുശേഷവും പിആർ നടപ്പാക്കൽ ഡിസൈൻ പാർട്ടീഷനുകളുടെ അന്തിമ സ്നാപ്പ്ഷോട്ട് സ്വയമേവ കയറ്റുമതി ചെയ്യുന്നതിന്, പോസ്റ്റ് ഫൈനൽ എക്സ്പോർട്ടിനായി ഇനിപ്പറയുന്നവ വ്യക്തമാക്കുക File റൂട്ട്, SUPR പാർട്ടീഷനുകൾക്കുള്ള ഓപ്ഷനുകൾ. The .qdb fileസ്ഥിരസ്ഥിതിയായി പ്രോജക്റ്റ് ഡയറക്ടറിയിലേക്ക് കയറ്റുമതി ചെയ്യുക.
• root_partition-blinking_led_static.qdb
• supr_partition—blinking_led_supr_partition_final.qdb
ചിത്രം 9. ഡിസൈൻ പാർട്ടീഷനുകളുടെ വിൻഡോയിൽ ഓട്ടോ എക്സ്പോർട്ട്പകരമായി, ഓരോ സമാഹാരത്തിനു ശേഷവും ഇനിപ്പറയുന്ന .qsf അസൈൻമെന്റുകൾ പാർട്ടീഷനുകൾ സ്വയമേവ കയറ്റുമതി ചെയ്യുന്നു:
set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | - എന്റിറ്റി ടോപ്പ്
set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb - to u_top_counter \ -entity top - Blinking_led അടിസ്ഥാന പുനരവലോകനം കംപൈൽ ചെയ്യുന്നതിന്, പ്രോസസ്സിംഗ് ➤ ആരംഭിക്കുക ക്ലിക്കുചെയ്യുക
സമാഹാരം. പകരമായി, ഈ പുനരവലോകനം കംപൈൽ ചെയ്യുന്നതിന് നിങ്ങൾക്ക് ഇനിപ്പറയുന്ന കമാൻഡ് ഉപയോഗിക്കാം:
quartus_sh –flow കംപൈൽ blinking_led -c blinking_led വിജയകരമായ സമാഹാരത്തിന് ശേഷം, ഇനിപ്പറയുന്നവ fileപ്രോജക്റ്റ് ഡയറക്ടറിയിൽ ദൃശ്യമാകുന്നു:
• blinking_led.sof
• blinking_led.pr_partition.rbf
• blinking_led.supr_partition.rbf
• blinking_led_static.qdb
• blinking_led_supr_partition_final.qdb
1.5.7. ഘട്ടം 7: പിആർ നടപ്പിലാക്കൽ പുനരവലോകനങ്ങൾ സജ്ജീകരിക്കുക
ഉപകരണ പ്രോഗ്രാമിംഗിനായി പിആർ ബിറ്റ്സ്ട്രീം സൃഷ്ടിക്കുന്നതിന് മുമ്പ് നിങ്ങൾ പിആർ നടപ്പിലാക്കൽ പുനരവലോകനങ്ങൾ തയ്യാറാക്കണം. ഈ സജ്ജീകരണത്തിൽ സ്റ്റാറ്റിക് റീജിയൻ .qdb ചേർക്കുന്നത് ഉൾപ്പെടുന്നു file ഉറവിടമായി file ഓരോ നിർവ്വഹണ പുനരവലോകനത്തിനും. കൂടാതെ, നിങ്ങൾ വ്യക്തമാക്കണം
PR മേഖലയുടെ അനുബന്ധ സ്ഥാപനം. PR നടപ്പിലാക്കൽ പുനരവലോകനങ്ങൾ സജ്ജീകരിക്കാൻ ഈ ഘട്ടങ്ങൾ പാലിക്കുക:
- നിലവിലെ പുനരവലോകനം സജ്ജീകരിക്കുന്നതിന്, Project ➤ Revisions എന്നതിൽ ക്ലിക്ക് ചെയ്യുക, റിവിഷൻ നാമമായി blinking_led_default തിരഞ്ഞെടുക്കുക, തുടർന്ന് സെറ്റ് കറന്റ് ക്ലിക്ക് ചെയ്യുക. പകരമായി, നിങ്ങൾക്ക് പ്രധാന ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ടൂൾബാറിൽ നിലവിലെ പുനരവലോകനം തിരഞ്ഞെടുക്കാം.
- ഈ നടപ്പാക്കൽ പുനരവലോകനത്തിന്റെ ശരിയായ ഉറവിടം പരിശോധിക്കുന്നതിന്, പ്രോജക്റ്റ് ➤ ചേർക്കുക/നീക്കം ചെയ്യുക ക്ലിക്കുചെയ്യുക Fileപദ്ധതിയിൽ എസ്. blinking_led.sv എന്ന് സ്ഥിരീകരിക്കുക file ൽ ദൃശ്യമാകുന്നു file പട്ടിക.
- ശരിയായ ഉറവിടം പരിശോധിക്കാൻ file നടപ്പിലാക്കൽ പുനരവലോകനങ്ങൾക്കായി, പ്രോജക്റ്റ് ➤ ചേർക്കുക/നീക്കം ചെയ്യുക ക്ലിക്ക് ചെയ്യുക fileപ്രോജക്റ്റിലെ എസ്, ഇനിപ്പറയുന്ന ഉറവിടം ചേർക്കുക fileനടപ്പാക്കൽ പുനരവലോകനങ്ങൾക്കുള്ള എസ്. നിലവിലുണ്ടെങ്കിൽ, പദ്ധതിയുടെ ലിസ്റ്റിൽ നിന്ന് blinking_led.sv നീക്കം ചെയ്യുക files.
Iപൂർത്തീകരണ പുനരവലോകന നാമം ഉറവിടം File ബ്ലിങ്കിംഗ്_ലെഡ്_ശൂന്യം blinking_led_empty.sv മിന്നുന്ന_ലെഡ്_സ്ലോ blinking_led_slow.sv - blinking_led_default നിലവിലെ പുനരവലോകനമായി സജ്ജമാക്കുക.
- .qdb വ്യക്തമാക്കാൻ file root_partition-ന്റെ ഉറവിടമായി, Assignments ➤ Design Partitions Window ക്ലിക്ക് ചെയ്യുക. പാർട്ടീഷൻ ഡാറ്റാബേസിൽ ഡബിൾ ക്ലിക്ക് ചെയ്യുക File സെല്ലും blinking_led_static.qdb വ്യക്തമാക്കുക file.
- അതുപോലെ, പാർട്ടീഷൻ ഡാറ്റാബേസായി blinking_led_supr_partition_final.qdb വ്യക്തമാക്കുക File supr_partition വേണ്ടി.
ചിത്രം 10.
പകരമായി, .qdb വ്യക്തമാക്കുന്നതിന് ഇനിപ്പറയുന്ന .qsf അസൈൻമെന്റുകൾ ഉപയോഗിക്കുക:
set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_supr_partition_final.qdb - to u_top_counter - ഡിസൈൻ പാർട്ടീഷനുകളുടെ വിൻഡോയിൽ, ഏറ്റവും വലത് കോളത്തോട് ചേർന്നുള്ള (...) ക്ലിക്ക് ചെയ്ത് എന്റിറ്റി റീ-ബൈൻഡിംഗ് കോളം പ്രവർത്തനക്ഷമമാക്കുക.
-
എന്റിറ്റി റീ-ബൈൻഡിംഗ് സെല്ലിൽ, നിലവിലെ നടപ്പിലാക്കൽ റിവിഷനിൽ നിങ്ങൾ മാറ്റുന്ന പിആർ പാർട്ടീഷനുള്ള പുതിയ എന്റിറ്റിയുടെ പേര് വ്യക്തമാക്കുക. blinking_led_default നടപ്പിലാക്കൽ പുനരവലോകനത്തിന്, എന്റിറ്റിയുടെ പേര് blinking_led ആണ്. ഈ സാഹചര്യത്തിൽ, നിങ്ങൾ അടിസ്ഥാന റിവിഷൻ കംപൈലിൽ നിന്ന് u_blinking_led ഇൻസ്റ്റൻസ് പുതിയ എന്റിറ്റി ബ്ലിങ്കിംഗ്_ലെഡ് ഉപയോഗിച്ച് പുനരാലേഖനം ചെയ്യുന്നു. മറ്റ് നടപ്പാക്കൽ പുനരവലോകനങ്ങൾക്കായി, ഇനിപ്പറയുന്ന പട്ടിക കാണുക:
പുനരവലോകനം എന്റിറ്റി റീ-ബൈൻഡിംഗ് മൂല്യം മിന്നുന്ന_ലെഡ്_സ്ലോ മിന്നുന്ന_ലെഡ്_സ്ലോ ബ്ലിങ്കിംഗ്_ലെഡ്_ശൂന്യം ബ്ലിങ്കിംഗ്_ലെഡ്_ശൂന്യം ചിത്രം 11. എന്റിറ്റി റീബൈൻഡിംഗ്
പകരമായി, അസൈൻമെന്റുകൾ സജ്ജീകരിക്കുന്നതിന് നിങ്ങൾക്ക് ഓരോ പുനരവലോകനത്തിന്റെയും .qsf-ൽ ഇനിപ്പറയുന്ന വരികൾ ഉപയോഗിക്കാം:
##blinking_led_default.qsf
set_instance_assignment -name ENTITY_REBINDING blinking_led \ -to u_blinking_led
##blinking_led_slow.qsf
set_instance_assignment -name ENTITY_REBINDING blinking_led_slow \ -to u_blinking_led
##blinking_led_empty.qsf
set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - supr_partition-നായി എന്റിറ്റി റീ-ബൈൻഡിംഗ് സെല്ലിൽ നിന്ന് place_holder ടെക്സ്റ്റ് ഇല്ലാതാക്കുക.
- ഡിസൈൻ കംപൈൽ ചെയ്യുന്നതിന്, പ്രോസസ്സിംഗ് ➤ കംപൈലേഷൻ ആരംഭിക്കുക ക്ലിക്കുചെയ്യുക. പകരമായി, ഈ പ്രോജക്റ്റ് കംപൈൽ ചെയ്യുന്നതിന് ഇനിപ്പറയുന്ന കമാൻഡ് ഉപയോഗിക്കുക: quartus_sh –flow കംപൈൽ blinking_led –c blinking_led_default
- blinking_led_slow, blinking_led_empty നടപ്പിലാക്കൽ പുനരവലോകനങ്ങൾ തയ്യാറാക്കാനും കംപൈൽ ചെയ്യാനും 4 മുതൽ 11 വരെയുള്ള ഘട്ടങ്ങൾ ആവർത്തിക്കുക.
1.5.8. ഘട്ടം 8: SUPR ലോജിക് മാറ്റുക
SUPR പാർട്ടീഷനിലെ ലോജിക്കിന്റെ പ്രവർത്തനക്ഷമത മാറ്റുന്നതിന്, നിങ്ങൾ SUPR പാർട്ടീഷൻ ഉറവിടം മാറ്റണം. SUPR പാർട്ടീഷനിലെ u_top_counter ഉദാഹരണം top_counter_fast എന്റിറ്റി ഉപയോഗിച്ച് മാറ്റിസ്ഥാപിക്കുന്നതിന് ഇനിപ്പറയുന്ന ഘട്ടങ്ങൾ പൂർത്തിയാക്കുക.
- SUPR നടപ്പിലാക്കൽ പുനരവലോകനം നിലവിലുള്ളതായി സജ്ജീകരിക്കുന്നതിന്, Project ➤ Revisions ക്ലിക്ക് ചെയ്ത് impl_blinking_led_supr_new നിലവിലെ പുനരവലോകനമായി സജ്ജമാക്കുക, അല്ലെങ്കിൽ തിരഞ്ഞെടുക്കുക
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം മെയിൻ ടൂൾബാറിലെ പുനരവലോകനം. - ശരിയായ ഉറവിടം പരിശോധിക്കാൻ file നടപ്പിലാക്കൽ പുനരവലോകനത്തിനായി, പ്രൊജക്റ്റ് ➤ ക്ലിക്ക് ചെയ്യുക
ചേർക്കുക/നീക്കം ചെയ്യുക fileപ്രോജക്റ്റിൽ ഉണ്ട്, impl_blinking_led_supr_new നടപ്പിലാക്കൽ പുനരവലോകനത്തിന്റെ ഉറവിടം top_counter_fast.sv ആണെന്ന് പരിശോധിക്കുക. നിലവിലുണ്ടെങ്കിൽ, പദ്ധതിയുടെ ലിസ്റ്റിൽ നിന്ന് top_counter.sv നീക്കം ചെയ്യുക files. - .qdb വ്യക്തമാക്കാൻ file റൂട്ട് പാർട്ടീഷനുമായി ബന്ധപ്പെടുത്തി, അസൈൻമെന്റുകൾ ➤ ഡിസൈൻ പാർട്ടീഷനുകൾ വിൻഡോ ക്ലിക്ക് ചെയ്യുക, തുടർന്ന് പാർട്ടീഷൻ ഡാറ്റാബേസിൽ ഡബിൾ ക്ലിക്ക് ചെയ്യുക File blinking_led_static.qdb വ്യക്തമാക്കാൻ സെൽ.
പകരമായി, ഇത് നൽകുന്നതിന് ഇനിപ്പറയുന്ന കമാൻഡ് ഉപയോഗിക്കുക file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to | - pr_partition-നുള്ള എന്റിറ്റി റീ-ബൈൻഡിംഗ് സെല്ലിൽ, ഉചിതമായ എന്റിറ്റിയുടെ പേര് വ്യക്തമാക്കുക. ഇതിനായി മുൻample, blinking_led_empty എന്റിറ്റി വ്യക്തമാക്കുക. ഈ സാഹചര്യത്തിൽ, ബേസ് റിവിഷൻ കംപൈലിൽ നിന്ന് u_blinking_led ഇൻസ്റ്റൻസ് നിങ്ങൾ ലിങ്കിംഗ്_led_empty എന്ന പുതിയ എന്റിറ്റി ഉപയോഗിച്ച് പുനരാലേഖനം ചെയ്യുന്നു. ഇനിപ്പറയുന്ന വരി ഇപ്പോൾ .qsf-ൽ നിലവിലുണ്ട്:
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - supr_partition-നുള്ള എന്റിറ്റി റീ-ബൈൻഡിംഗ് സെല്ലിൽ, top_counter_fast എന്റിറ്റി വ്യക്തമാക്കുക. നിങ്ങൾ SUPR പൂർത്തിയാക്കുമ്പോൾ u_top_counter-നെ മാറ്റിസ്ഥാപിക്കുന്ന സ്റ്റാറ്റിക് എന്റിറ്റിയുടെ പേരാണ് top_counter_fast.
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING top_counter_fast \ -to u_top_counter
- ഡിസൈൻ കംപൈൽ ചെയ്യുന്നതിന്, പ്രോസസ്സിംഗ് ➤ കംപൈലേഷൻ ആരംഭിക്കുക ക്ലിക്കുചെയ്യുക. പകരമായി, ഈ പ്രോജക്റ്റ് റിവിഷൻ കംപൈൽ ചെയ്യുന്നതിന് ഇനിപ്പറയുന്ന കമാൻഡ് ഉപയോഗിക്കുക: quartus_sh –flow കംപൈൽ blinking_led –c \ impl_blinking_led_supr_new
1.5.9. ഘട്ടം 9: ബോർഡ് പ്രോഗ്രാം ചെയ്യുക
Intel Agilex F-Series FPGA ഡവലപ്മെന്റ് ബോർഡ് കണക്റ്റുചെയ്യാനും പ്രോഗ്രാം ചെയ്യാനും ഈ ഘട്ടങ്ങൾ പാലിക്കുക.
- Intel Agilex F-Series FPGA ഡെവലപ്മെന്റ് ബോർഡിലേക്ക് വൈദ്യുതി വിതരണം ബന്ധിപ്പിക്കുക.
- നിങ്ങളുടെ PC USB പോർട്ടിനും വികസന ബോർഡിലെ USB പ്രോഗ്രാമിംഗ് ഹാർഡ്വെയറിനുമിടയിൽ ഒരു USB കേബിൾ ബന്ധിപ്പിക്കുക.
- ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയർ തുറക്കുക, തുടർന്ന് ടൂൾസ് ➤ പ്രോഗ്രാമർ ക്ലിക്ക് ചെയ്യുക. ഒരു വികസന ബോർഡ് പ്രോഗ്രാമിംഗ് കാണുക.
- പ്രോഗ്രാമറിൽ, ഹാർഡ്വെയർ സെറ്റപ്പ് ക്ലിക്ക് ചെയ്യുക, തുടർന്ന് USB-Blaster തിരഞ്ഞെടുക്കുക.
- സ്വയമേവ കണ്ടെത്തുക ക്ലിക്കുചെയ്യുക, തുടർന്ന് AGFB014R24B ഉപകരണം തിരഞ്ഞെടുക്കുക.
- ശരി ക്ലിക്ക് ചെയ്യുക. ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയർ ബോർഡിലെ മൂന്ന് FPGA ഉപകരണങ്ങൾ ഉപയോഗിച്ച് പ്രോഗ്രാമറെ കണ്ടെത്തുകയും അപ്ഡേറ്റ് ചെയ്യുകയും ചെയ്യുന്നു.
- AGFB014R24B ഉപകരണം തിരഞ്ഞെടുക്കുക, മാറ്റുക ക്ലിക്കുചെയ്യുക File, ഒപ്പം blinking_led_default.sof ലോഡുചെയ്യുക file.
- Blinking_led_default.sof-നായി പ്രോഗ്രാം/കോൺഫിഗർ ചെയ്യുക file.
- ആരംഭിക്കുക ക്ലിക്കുചെയ്യുക, പുരോഗതി ബാർ 100% എത്തുന്നതുവരെ കാത്തിരിക്കുക.
- ബോർഡിലെ LED-കൾ മിന്നുന്നത് നിരീക്ഷിക്കുക.
- PR റീജിയൻ മാത്രം പ്രോഗ്രാം ചെയ്യുന്നതിന്, blinking_led_default.sof-ൽ വലത് ക്ലിക്ക് ചെയ്യുക file പ്രോഗ്രാമറിൽ, ആഡ് പിആർ പ്രോഗ്രാമിംഗ് ക്ലിക്ക് ചെയ്യുക File. blinking_led_slow.pr_partition.rbf തിരഞ്ഞെടുക്കുക file.
- Blinking_led_default.sof-നുള്ള പ്രോഗ്രാം/കോൺഫിഗർ പ്രവർത്തനരഹിതമാക്കുക file.
- Blinking_led_slow.pr_partition.rbf-നായി പ്രോഗ്രാം/കോൺഫിഗർ ചെയ്യുക file, തുടർന്ന് ആരംഭിക്കുക ക്ലിക്ക് ചെയ്യുക. ബോർഡിൽ, LED[0], LED[1] മിന്നുന്നത് തുടരുന്നത് നിരീക്ഷിക്കുക. പ്രോഗ്രസ് ബാർ 100% എത്തുമ്പോൾ, LED[2], LED[3] എന്നിവ മന്ദഗതിയിലാകും.
- PR റീജിയൻ വീണ്ടും പ്രോഗ്രാം ചെയ്യുന്നതിന്, .rbf-ൽ വലത് ക്ലിക്ക് ചെയ്യുക file പ്രോഗ്രാമറിൽ, തുടർന്ന് PR പ്രോഗ്രാമിംഗ് മാറ്റുക ക്ലിക്കുചെയ്യുക File.
- .rbf തിരഞ്ഞെടുക്കുക fileബോർഡിലെ പെരുമാറ്റം മറ്റ് രണ്ട് വ്യക്തികൾ നിരീക്ഷിക്കണം. blinking_led_default.pr_partition.rbf ലോഡ് ചെയ്യുന്നു file യഥാർത്ഥ ആവൃത്തിയിൽ LED-കൾ മിന്നിമറയുന്നതിനും blinking_led_empty.pr_partition.rbf ലോഡുചെയ്യുന്നതിനും കാരണമാകുന്നു file LED-കൾ ഓണായിരിക്കുന്നതിന് കാരണമാകുന്നു. 17. SUPR ലോജിക് മാറ്റാൻ, impl_blinking_led_supr_new.sof തിരഞ്ഞെടുക്കുന്നതിന് മുകളിലെ ഘട്ടം 7 ആവർത്തിക്കുക. ഇത് മാറ്റിയ ശേഷം file, ലീഡ് [0:1] ഇപ്പോൾ മുമ്പത്തേതിനേക്കാൾ വേഗത്തിൽ മിന്നുന്നു. മറ്റ് PR .rbf fileകൾ പുതിയ .sof നും അനുയോജ്യമാണ്.
കുറിപ്പ്: അസംബ്ലർ ഒരു .rbf സൃഷ്ടിക്കുന്നു file SUPR മേഖലയ്ക്കായി. എന്നിരുന്നാലും, നിങ്ങൾ ഇത് ഉപയോഗിക്കരുത് file SUPR പാർട്ടീഷൻ ഫ്രീസ് ബ്രിഡ്ജ്, പിആർ റീജിയൻ കൺട്രോളർ, മൊത്തത്തിലുള്ള സിസ്റ്റത്തിലെ മറ്റ് ലോജിക് എന്നിവയെ ഉടനടി നൽകാത്തതിനാൽ റൺടൈമിൽ FPGA റീപ്രോഗ്രാം ചെയ്യാൻ. നിങ്ങൾ SUPR പാർട്ടീഷൻ ലോജിക്കിൽ മാറ്റങ്ങൾ വരുത്തുമ്പോൾ, നിങ്ങൾ മുഴുവൻ .sof റീപ്രോഗ്രാം ചെയ്യണം file SUPR നടപ്പിലാക്കൽ പുനരവലോകന സമാഹാരത്തിൽ നിന്ന്.
ചിത്രം 12. ഒരു വികസന ബോർഡ് പ്രോഗ്രാമിംഗ്
1.5.9.1. പിആർ പ്രോഗ്രാമിംഗ് പിശകുകൾ ട്രബിൾഷൂട്ട് ചെയ്യുന്നു
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോഗ്രാമറിന്റെയും കണക്റ്റഡ് ഹാർഡ്വെയറിന്റെയും ശരിയായ സജ്ജീകരണം ഉറപ്പാക്കുന്നത് പിആർ പ്രോഗ്രാമിംഗ് സമയത്ത് എന്തെങ്കിലും പിശകുകൾ ഒഴിവാക്കാൻ സഹായിക്കുന്നു.
നിങ്ങൾക്ക് എന്തെങ്കിലും PR പ്രോഗ്രാമിംഗ് പിശകുകൾ നേരിടുകയാണെങ്കിൽ, ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ ഉപയോക്തൃ ഗൈഡിലെ "ട്രബിൾഷൂട്ടിംഗ് പിആർ പ്രോഗ്രാമിംഗ് പിശകുകൾ" കാണുക: ഘട്ടം ഘട്ടമായുള്ള ട്രബിൾഷൂട്ടിംഗ് നുറുങ്ങുകൾക്കുള്ള ഭാഗിക പുനർക്രമീകരണം.
ബന്ധപ്പെട്ട വിവരങ്ങൾ
പിആർ പ്രോഗ്രാമിംഗ് പിശകുകൾ ട്രബിൾഷൂട്ട് ചെയ്യുന്നു
1.5.10. SUPR പാർട്ടീഷൻ പരിഷ്ക്കരിക്കുന്നു
നിങ്ങൾക്ക് നിലവിലുള്ള ഒരു SUPR പാർട്ടീഷൻ പരിഷ്കരിക്കാനാകും. SUPR പാർട്ടീഷൻ പരിഷ്കരിച്ച ശേഷം, നിങ്ങൾ അത് കംപൈൽ ചെയ്യണം, .sof ജനറേറ്റ് ചെയ്യണം fileമറ്റ് വ്യക്തികളെ കംപൈൽ ചെയ്യാതെ തന്നെ ബോർഡ് പ്രോഗ്രാം ചെയ്യുക. ഉദാample, വേഗത്തിൽ എണ്ണാൻ top_counter_fast.sv മൊഡ്യൂൾ മാറ്റാൻ ഈ ഘട്ടങ്ങൾ പാലിക്കുക:
- നിലവിലെ പുനരവലോകനമായി impl_blinking_led_supr_new സജ്ജമാക്കുക.
- top_counter_fast.sv-ൽ file, count_d + 2 പ്രസ്താവനയെ count_d + 4 ഉപയോഗിച്ച് മാറ്റിസ്ഥാപിക്കുക.
- SUPR ബ്ലോക്ക് വീണ്ടും സമന്വയിപ്പിക്കുന്നതിനും പുതിയ .sof സൃഷ്ടിക്കുന്നതിനും ഇനിപ്പറയുന്ന കമാൻഡുകൾ പ്രവർത്തിപ്പിക്കുക file: quartus_sh –flow കംപൈൽ blinking_led \ -c impl_blinking_led_supr_new
തത്ഫലമായുണ്ടാകുന്ന .sof-ൽ ഇപ്പോൾ പുതിയ SUPR മേഖല അടങ്ങിയിരിക്കുന്നു, കൂടാതെ ഡിഫോൾട്ട് (പവർ-ഓൺ) വ്യക്തിത്വത്തിനായി blinking_led ഉപയോഗിക്കുന്നു.
1.6 AN 987-ന്റെ ഡോക്യുമെന്റ് റിവിഷൻ ഹിസ്റ്ററി: സ്റ്റാറ്റിക് അപ്ഡേറ്റ് ഭാഗിക പുനർക്രമീകരണം ട്യൂട്ടോറിയൽ റിവിഷൻ ചരിത്രം
പ്രമാണ പതിപ്പ് | ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് | മാറ്റങ്ങൾ |
2022.10.24 | 22. | പ്രമാണത്തിന്റെ പ്രാരംഭ റിലീസ്. |
Intel® Quartus®Prime Design Suite-നായി അപ്ഡേറ്റ് ചെയ്തത്: 22.3
മുൻനിര FAQ-കൾക്കുള്ള ഉത്തരങ്ങൾ:
ഫീഡ്ബാക്ക് അയയ്ക്കുക
Q എന്താണ് സ്റ്റാറ്റിക് അപ്ഡേറ്റ് ഭാഗിക പുനർക്രമീകരണം
പേജ് 3-ൽ ഒരു സ്റ്റാറ്റിക് അപ്ഡേറ്റ് ഭാഗിക പുനഃക്രമീകരണം
Q ഈ ട്യൂട്ടോറിയലിനായി എനിക്ക് എന്താണ് വേണ്ടത്?
പേജ് 3-ലെ ഒരു ട്യൂട്ടോറിയൽ ആവശ്യകതകൾ
Q റഫറൻസ് ഡിസൈൻ എനിക്ക് എവിടെ നിന്ന് ലഭിക്കും?
ഒരു ഡൗൺലോഡ് റഫറൻസ് ഡിസൈൻ Fileപേജ് 5-ൽ എസ്
Q ഞാൻ എങ്ങനെയാണ് ഒരു SUPR ഡിസൈൻ സൃഷ്ടിക്കുക?
പേജ് 6-ലെ ഒരു റഫറൻസ് ഡിസൈൻ വാക്ക്ത്രൂ
Q ഒരു PR വ്യക്തി എന്താണ്?
പേജ് 10-ൽ വ്യക്തികളെ നിർവചിക്കുക
Q ഞാൻ എങ്ങനെയാണ് SUPR ലോജിക് മാറ്റുന്നത്? A പേജ് 16-ലെ SUPR ലോജിക് മാറ്റുക
A പേജ് 16-ലെ SUPR ലോജിക് മാറ്റുക
Q ഞാൻ എങ്ങനെയാണ് ബോർഡ് പ്രോഗ്രാം ചെയ്യുക?
പേജ് 18-ലെ ഒരു പ്രോഗ്രാം ബോർഡ്
Q പിആർ അറിയപ്പെടുന്ന പ്രശ്നങ്ങളും പരിമിതികളും എന്തൊക്കെയാണ്?
ഒരു ഇന്റൽ FPGA പിന്തുണാ ഫോറങ്ങൾ: PR
ഓൺലൈൻ പതിപ്പ്
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഐഡി: 749443
എഎൻ-987
പതിപ്പ്: 2022.10.24
പ്രമാണങ്ങൾ / വിഭവങ്ങൾ
![]() |
intel Agilex F-Series FPGA ഡവലപ്മെന്റ് ബോർഡ് [pdf] ഉപയോക്തൃ ഗൈഡ് Agilex F-Series, Agilex F-Series FPGA ഡവലപ്മെന്റ് ബോർഡ്, FPGA ഡവലപ്മെന്റ് ബോർഡ്, ഡവലപ്മെന്റ് ബോർഡ്, ബോർഡ് |