ഇൻ്റൽ ലോഗോeCPRI Intel® FPGA IP ഡിസൈൻ
Exampലെ ഉപയോക്തൃ ഗൈഡ്
Intel®-നായി അപ്‌ഡേറ്റ് ചെയ്‌തു
ക്വാർട്ടസ്®
പ്രൈം ഡിസൈൻ സ്യൂട്ട്: 23.1
IP പതിപ്പ്: 2.0.3

ദ്രുത ആരംഭ ഗൈഡ്

മെച്ചപ്പെടുത്തിയ കോമൺ പബ്ലിക് റേഡിയോ ഇൻ്റർഫേസ് (eCPRI) Intel® FPGA IP കോർ eCPRI സ്പെസിഫിക്കേഷൻ പതിപ്പ് 2.0 നടപ്പിലാക്കുന്നു. eCPRI ഇൻ്റൽ FPGA IP ഒരു സിമുലേഷൻ ടെസ്റ്റ്ബെഞ്ചും ഒരു ഹാർഡ്‌വെയർ ഡിസൈനും നൽകുന്നുampകംപൈലേഷനും ഹാർഡ്‌വെയർ ടെസ്റ്റിംഗും പിന്തുണയ്ക്കുന്ന le. നിങ്ങൾ ഡിസൈൻ സൃഷ്ടിക്കുമ്പോൾ മുൻample, പാരാമീറ്റർ എഡിറ്റർ യാന്ത്രികമായി സൃഷ്ടിക്കുന്നു fileഡിസൈൻ അനുകരിക്കാനും സമാഹരിക്കാനും പരീക്ഷിക്കാനും ആവശ്യമാണ്ampഹാർഡ്‌വെയറിൽ le.
സമാഹരിച്ച ഹാർഡ്‌വെയർ ഡിസൈൻ മുൻample പ്രവർത്തിക്കുന്നു:

  • Intel Agilex™ 7 I-Series FPGA ഡവലപ്മെൻ്റ് കിറ്റ്
  • Intel Agilex 7 I-Series Transceiver-SoC ഡെവലപ്‌മെൻ്റ് കിറ്റ്
  • Intel Agilex 7 F-Series Transceiver-SoC ഡെവലപ്‌മെൻ്റ് കിറ്റ്
  • എച്ച്-ടൈൽ ഡിസൈനിനായുള്ള Intel Stratix® 10 GX ട്രാൻസ്‌സിവർ സിഗ്നൽ ഇൻ്റഗ്രിറ്റി ഡെവലപ്‌മെൻ്റ് കിറ്റ്ampലെസ്
  • ഇ-ടൈൽ ഡിസൈനിനായുള്ള ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 TX ട്രാൻസ്‌സിവർ സിഗ്നൽ ഇൻ്റഗ്രിറ്റി ഡെവലപ്‌മെൻ്റ് കിറ്റ്ampലെസ്
  • Intel Arria® 10 GX Transceiver Signal Integrity Development Kit

ഇൻ്റൽ ഒരു സമാഹാരം-മാത്രം മുൻ നൽകുന്നുampഐപി കോർ ഏരിയയും സമയവും വേഗത്തിൽ കണക്കാക്കാൻ നിങ്ങൾക്ക് ഉപയോഗിക്കാവുന്ന le പ്രോജക്റ്റ്.
ടെസ്റ്റ്ബെഞ്ചും ഡിസൈനും മുൻampECPRI IP-യുടെ Intel Stratix 25 H-tile അല്ലെങ്കിൽ E-tile, Intel Agilex 10 E-tile അല്ലെങ്കിൽ F-tile ഉപകരണ വ്യതിയാനങ്ങൾക്കുള്ള 10G, 7G ഡാറ്റാ നിരക്കുകൾ le പിന്തുണയ്ക്കുന്നു.

കുറിപ്പ്: eCPRI IP ഡിസൈൻ മുൻampനിലവിലുള്ള റിലീസിൽ 9.8 Gbps CPRI ലൈൻ ബിറ്റ് റേറ്റിന് മാത്രമേ ഇൻ്റർവർക്കിംഗ് ഫംഗ്‌ഷൻ (IWF) ഉള്ള le ലഭ്യമാകൂ.
കുറിപ്പ്: eCPRI IP ഡിസൈൻ മുൻampIntel Arria 10 ഡിസൈനുകളിലെ 10G ഡാറ്റാ നിരക്കിനായുള്ള ഡൈനാമിക് റീകോൺഫിഗറേഷനെ le പിന്തുണയ്ക്കുന്നില്ല.

eCPRI ഇൻ്റൽ FPGA IP കോർ ഡിസൈൻ എക്സിample ഇനിപ്പറയുന്ന സവിശേഷതകൾ പിന്തുണയ്ക്കുന്നു:

  • ആന്തരിക TX മുതൽ RX വരെയുള്ള സീരിയൽ ലൂപ്പ്ബാക്ക് മോഡ്
  • ട്രാഫിക് ജനറേറ്ററും ചെക്കറും
  • അടിസ്ഥാന പാക്കറ്റ് പരിശോധന കഴിവുകൾ
  • ഡിസൈൻ റൺ ചെയ്യാനും വീണ്ടും ടെസ്റ്റിംഗ് ആവശ്യത്തിനായി ഡിസൈൻ റീസെറ്റ് ചെയ്യാനും സിസ്റ്റം കൺസോൾ ഉപയോഗിക്കാനുള്ള കഴിവ്

ഇന്റൽ കോർപ്പറേഷൻ. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം. ഇന്റൽ, ഇന്റൽ ലോഗോ, മറ്റ് ഇന്റൽ മാർക്കുകൾ എന്നിവ ഇന്റൽ കോർപ്പറേഷന്റെയോ അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളുടെയോ വ്യാപാരമുദ്രകളാണ്. ഇന്റലിന്റെ സ്റ്റാൻഡേർഡ് വാറന്റിക്ക് അനുസൃതമായി അതിന്റെ FPGA, അർദ്ധചാലക ഉൽപ്പന്നങ്ങളുടെ പ്രകടനം നിലവിലെ സ്പെസിഫിക്കേഷനുകളിലേക്ക് Intel വാറന്റ് ചെയ്യുന്നു, എന്നാൽ അറിയിപ്പ് കൂടാതെ ഏത് സമയത്തും ഏത് ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം നിക്ഷിപ്തമാണ്. Intel രേഖാമൂലം രേഖാമൂലം സമ്മതിച്ചതല്ലാതെ ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങളുടെയോ ഉൽപ്പന്നത്തിന്റെയോ സേവനത്തിന്റെയോ ആപ്ലിക്കേഷനിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന ഉത്തരവാദിത്തമോ ബാധ്യതയോ Intel ഏറ്റെടുക്കുന്നില്ല. ഏതെങ്കിലും പ്രസിദ്ധീകരിച്ച വിവരങ്ങളെ ആശ്രയിക്കുന്നതിന് മുമ്പും ഉൽപ്പന്നങ്ങൾക്കോ ​​സേവനങ്ങൾക്കോ ​​​​ഓർഡറുകൾ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സവിശേഷതകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ഇന്റൽ ഉപഭോക്താക്കളോട് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.

ISO 9001:2015 രജിസ്റ്റർ ചെയ്തു

ചിത്രം 1. രൂപകല്പനയുടെ വികസന ഘട്ടങ്ങൾ ExampleeCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ - ചിത്രം 1

ബന്ധപ്പെട്ട വിവരങ്ങൾ

  • eCPRI ഇന്റൽ FPGA IP ഉപയോക്തൃ ഗൈഡ്
  • eCPRI ഇൻ്റൽ FPGA IP റിലീസ് കുറിപ്പുകൾ

1.1 ഹാർഡ്‌വെയർ, സോഫ്റ്റ്‌വെയർ ആവശ്യകതകൾ
മുൻ പരീക്ഷിക്കാൻample ഡിസൈൻ, ഇനിപ്പറയുന്ന ഹാർഡ്‌വെയറും സോഫ്റ്റ്‌വെയറും ഉപയോഗിക്കുക:

  • Intel Quartus® Prime Pro Edition സോഫ്റ്റ്‌വെയർ പതിപ്പ് 23.1
  • സിസ്റ്റം കൺസോൾ
  • പിന്തുണയ്ക്കുന്ന സിമുലേറ്ററുകൾ:
    — സീമെൻസ്* EDA QuestaSim*
    — സംഗ്രഹം* VCS*
    — സംഗ്രഹം VCS MX
    — Aldec* Riviera-PRO*
    - Cadence* Xcelium*
  • വികസന കിറ്റ്:
    — Intel Agilex 7 I-Series FPGA ഡവലപ്മെൻ്റ് കിറ്റ്
    — Intel Agilex 7 I-Series Transceiver-SoC വികസന കിറ്റ്
    — Intel Agilex 7 F-Series Transceiver-SoC വികസന കിറ്റ്
    — എച്ച്-ടൈൽ ഡിവൈസ് വേരിയേഷൻ ഡിസൈനിനായുള്ള ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 ജിഎക്സ് ട്രാൻസ്‌സിവർ സിഗ്നൽ ഇൻ്റഗ്രിറ്റി ഡെവലപ്‌മെൻ്റ് കിറ്റ്ample
    — ഇ-ടൈൽ ഉപകരണ വേരിയേഷൻ ഡിസൈനിനായുള്ള ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 TX ട്രാൻസ്‌സിവർ സിഗ്നൽ ഇൻ്റഗ്രിറ്റി ഡെവലപ്‌മെൻ്റ് മുൻample
    — Intel Arria 10 GX Transceiver Signal Integrity Development Kit

ബന്ധപ്പെട്ട വിവരങ്ങൾ

  • Intel Agilex 7 I-Series FPGA ഡെവലപ്‌മെൻ്റ് കിറ്റ് ഉപയോക്തൃ ഗൈഡ്
  • Intel Agilex 7 I-Series Transceiver-SoC വികസന കിറ്റ് ഉപയോക്തൃ ഗൈഡ്
  • Intel Agilex 7 F-Series Transceiver-SoC വികസന കിറ്റ് ഉപയോക്തൃ ഗൈഡ്
  • Intel Stratix 10 GX ട്രാൻസ്‌സിവർ സിഗ്നൽ ഇൻ്റഗ്രിറ്റി ഡെവലപ്‌മെൻ്റ് കിറ്റ് ഉപയോക്തൃ ഗൈഡ്
  • Intel Stratix 10 TX ട്രാൻസ്‌സിവർ സിഗ്നൽ ഇൻ്റഗ്രിറ്റി ഡെവലപ്‌മെൻ്റ് കിറ്റ് ഉപയോക്തൃ ഗൈഡ്
  • Intel Arria 10 GX Transceiver Signal Integrity Development Kit User Guide

1.2 ഡിസൈൻ സൃഷ്ടിക്കുന്നു
മുൻവ്യവസ്ഥ: നിങ്ങൾക്ക് eCPRI ലഭിച്ചുകഴിഞ്ഞാൽ web-core IP, സംരക്ഷിക്കുക webലോക്കൽ ഏരിയയിലേക്കുള്ള കോർ ഇൻസ്റ്റാളർ. Windows/Linux ഉപയോഗിച്ച് ഇൻസ്റ്റാളർ പ്രവർത്തിപ്പിക്കുക. ആവശ്യപ്പെടുമ്പോൾ, ഇൻസ്റ്റാൾ ചെയ്യുക webഇൻ്റൽ ക്വാർട്ടസ് പ്രൈം ഫോൾഡറിൻ്റെ അതേ സ്ഥാനത്തേക്ക് കോർ.
eCPRI Intel FPGA IP ഇപ്പോൾ IP കാറ്റലോഗിൽ ദൃശ്യമാകുന്നു.
നിങ്ങളുടെ eCPRI Intel FPGA IP കോർ സംയോജിപ്പിക്കാൻ നിങ്ങൾക്ക് ഇതിനകം ഒരു Intel Quartus Prime Pro എഡിഷൻ പ്രോജക്റ്റ് ഇല്ലെങ്കിൽ, നിങ്ങൾ ഒന്ന് സൃഷ്‌ടിക്കണം.

  1. Intel Quartus Prime Pro Edition സോഫ്റ്റ്‌വെയറിൽ ക്ലിക്ക് ചെയ്യുക File ➤ ഒരു പുതിയ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോജക്‌റ്റ് സൃഷ്‌ടിക്കാൻ പുതിയ പ്രോജക്റ്റ് വിസാർഡ്, അല്ലെങ്കിൽ ക്ലിക്ക് ചെയ്യുക File ➤ നിലവിലുള്ള ഒരു ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് തുറക്കാൻ പ്രോജക്റ്റ് തുറക്കുക. ഒരു ഉപകരണം വ്യക്തമാക്കാൻ വിസാർഡ് നിങ്ങളോട് ആവശ്യപ്പെടുന്നു.
  2. ഉപകരണ കുടുംബവും സ്പീഡ് ഗ്രേഡ് ആവശ്യകതകൾ നിറവേറ്റുന്ന ഒരു ഉപകരണവും വ്യക്തമാക്കുക.
  3. പൂർത്തിയാക്കുക ക്ലിക്ക് ചെയ്യുക.
  4. IP കാറ്റലോഗിൽ, eCPRI Intel FPGA IP കണ്ടെത്തി ഡബിൾ ക്ലിക്ക് ചെയ്യുക. പുതിയ ഐപി വേരിയൻ്റ് വിൻഡോ ദൃശ്യമാകുന്നു.

eCPRI IP ഹാർഡ്‌വെയർ ഡിസൈൻ സൃഷ്ടിക്കാൻ ഈ ഘട്ടങ്ങൾ പാലിക്കുകampലെയും ടെസ്റ്റ് ബെഞ്ചും:

  1. IP കാറ്റലോഗിൽ, eCPRI Intel FPGA IP കണ്ടെത്തി ഡബിൾ ക്ലിക്ക് ചെയ്യുക. പുതിയ ഐപി വേരിയൻ്റ് വിൻഡോ ദൃശ്യമാകുന്നു.
  2. ശരി ക്ലിക്ക് ചെയ്യുക. പാരാമീറ്റർ എഡിറ്റർ ദൃശ്യമാകുന്നു.
    ചിത്രം 2. Example ഡിസൈൻ ടാബ് eCPRI Intel FPGA IP പാരാമീറ്റർ എഡിറ്ററിൽeCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ - ചിത്രം 2
  3. ഒരു ഉയർന്ന തലത്തിലുള്ള പേര് വ്യക്തമാക്കുക നിങ്ങളുടെ ഇഷ്‌ടാനുസൃത IP വ്യതിയാനത്തിന്. പാരാമീറ്റർ എഡിറ്റർ IP വേരിയേഷൻ ക്രമീകരണങ്ങൾ a-ൽ സംരക്ഷിക്കുന്നു file പേരിട്ടു .ip.
  4. ശരി ക്ലിക്ക് ചെയ്യുക. പാരാമീറ്റർ എഡിറ്റർ ദൃശ്യമാകുന്നു.
  5. പൊതുവായ ടാബിൽ, നിങ്ങളുടെ ഐപി കോർ വേരിയേഷനുള്ള പാരാമീറ്ററുകൾ വ്യക്തമാക്കുക.
    കുറിപ്പ്: • നിങ്ങൾ മുൻ ഡിസൈൻ സൃഷ്ടിക്കുമ്പോൾ eCPRI IP പാരാമീറ്റർ എഡിറ്ററിൽ സ്ട്രീമിംഗ് പാരാമീറ്റർ ഓൺ ചെയ്യണംampലെ ഇൻ്റർവർക്കിംഗ് ഫംഗ്ഷൻ (IWF) പിന്തുണ പാരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കി,
    • മുൻ ഡിസൈൻ സൃഷ്ടിക്കുമ്പോൾ നിങ്ങൾ CPRI ലൈൻ ബിറ്റ് റേറ്റ് (Gbit/s) മറ്റുള്ളവരിലേക്ക് സജ്ജീകരിക്കണം.ampലെ ഇൻ്റർവർക്കിംഗ് ഫംഗ്ഷൻ (IWF) സപ്പോർട്ട് പാരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കി.
  6. എക്സിയിൽample ഡിസൈൻ ടാബ്, ടെസ്റ്റ്ബെഞ്ച് സൃഷ്ടിക്കുന്നതിനുള്ള സിമുലേഷൻ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക, ഹാർഡ്‌വെയർ എക്‌സ് സൃഷ്‌ടിക്കാൻ സിന്തസിസ് ഓപ്ഷൻ തിരഞ്ഞെടുക്കുകample ഡിസൈൻ, കൂടാതെ ടെസ്റ്റ്ബെഞ്ചും ഹാർഡ്‌വെയർ ഡിസൈൻ എക്‌സിയും സൃഷ്ടിക്കുന്നതിന് സിന്തസിസും സിമുലേഷൻ ഓപ്ഷനും തിരഞ്ഞെടുക്കുകample.
  7. ടോപ്പ് ലെവൽ സിമുലേഷനുള്ള ഭാഷയ്ക്ക് file, വെരിലോഗ് അല്ലെങ്കിൽ വിഎച്ച്ഡിഎൽ തിരഞ്ഞെടുക്കുക.
    കുറിപ്പ്: നിങ്ങളുടെ മുൻകാല സിമുലേഷൻ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുമ്പോൾ മാത്രമേ ഈ ഓപ്ഷൻ ലഭ്യമാകൂampലെ ഡിസൈൻ.
  8. ടോപ്പ് ലെവൽ സിന്തസിസിനുള്ള ഭാഷയ്ക്ക് file, വെരിലോഗ് അല്ലെങ്കിൽ വിഎച്ച്ഡിഎൽ തിരഞ്ഞെടുക്കുക.
    കുറിപ്പ്: നിങ്ങളുടെ മുൻകാലത്തിനായി സിന്തസിസ് ഓപ്ഷൻ തിരഞ്ഞെടുക്കുമ്പോൾ മാത്രമേ ഈ ഓപ്ഷൻ ലഭ്യമാകൂampലെ ഡിസൈൻ.
  9. ചാനലുകളുടെ എണ്ണം, നിങ്ങളുടെ ഡിസൈനിനായി ഉദ്ദേശിച്ചിട്ടുള്ള ചാനലുകളുടെ എണ്ണം (1 മുതൽ 4 വരെ) നൽകാം. സ്ഥിര മൂല്യം 1 ആണ്.
  10. Ex Generate ക്ലിക്ക് ചെയ്യുകampലെ ഡിസൈൻ. സെലക്ട് എക്സിample ഡിസൈൻ ഡയറക്ടറി വിൻഡോ ദൃശ്യമാകുന്നു.
  11. നിങ്ങൾക്ക് ഡിസൈൻ പരിഷ്കരിക്കണമെങ്കിൽ മുൻample ഡയറക്ടറി പാത്ത് അല്ലെങ്കിൽ പ്രദർശിപ്പിച്ച സ്ഥിരസ്ഥിതികളിൽ നിന്നുള്ള പേര് (ecpri_0_testbench), പുതിയ പാതയിലേക്ക് ബ്രൗസ് ചെയ്ത് പുതിയ ഡിസൈൻ ടൈപ്പ് ചെയ്യുകample ഡയറക്ടറിയുടെ പേര്.
  12. ശരി ക്ലിക്ക് ചെയ്യുക.

ബന്ധപ്പെട്ട വിവരങ്ങൾ
eCPRI ഇന്റൽ FPGA IP ഉപയോക്തൃ ഗൈഡ്
1.3. ഡയറക്ടറി ഘടന
eCPRI IP കോർ ഡിസൈൻ മുൻample file ഡയറക്‌ടറികളിൽ ഇനിപ്പറയുന്ന ജനറേറ്റഡ് അടങ്ങിയിരിക്കുന്നു fileരൂപകൽപ്പനയ്ക്ക് വേണ്ടി sample.

ചിത്രം 3. ജനറേറ്റഡ് എക്സിൻ്റെ ഡയറക്ടറി ഘടനampലെ ഡിസൈൻeCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ - ചിത്രം 3

കുറിപ്പ്:

  1. Intel Arria 10 IP ഡിസൈനിൽ മാത്രമേ ഉള്ളൂample വ്യതിയാനം.
  2. ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 (എച്ച്-ടൈൽ അല്ലെങ്കിൽ ഇ-ടൈൽ) ഐപി ഡിസൈൻ എക്സിയിൽ മാത്രംample വ്യതിയാനം.
  3. ഇൻ്റൽ അജിലെക്സ് ഇ-ടൈൽ ഐപി ഡിസൈൻ എക്സിയിൽ മാത്രമേ ഉള്ളൂample വ്യതിയാനം.

പട്ടിക 1. eCPRI ഇൻ്റൽ FPGA IP കോർ ടെസ്റ്റ്ബെഞ്ച് File വിവരണങ്ങൾ

File പേരുകൾ  വിവരണം
കീ ടെസ്റ്റ്ബെഞ്ചും സിമുലേഷനും Files
<design_example_dir>/simulation/testbench/ ecpri_tb.sv ഉയർന്ന തലത്തിലുള്ള ടെസ്റ്റ് ബെഞ്ച് file. ടെസ്റ്റ്ബെഞ്ച് DUT റാപ്പർ സ്ഥാപിക്കുകയും പാക്കറ്റുകൾ ജനറേറ്റ് ചെയ്യാനും സ്വീകരിക്കാനും വെരിലോഗ് HDL ടാസ്‌ക്കുകൾ പ്രവർത്തിപ്പിക്കുകയും ചെയ്യുന്നു.
<design_example_dir>/simulation/testbench/ecpri_ed.sv DUT ഉം മറ്റ് ടെസ്റ്റ്ബെഞ്ച് ഘടകങ്ങളും സ്ഥാപിക്കുന്ന DUT റാപ്പർ.
<design_example_dir>/simulation/ed_fw/flow.c സി-കോഡ് ഉറവിടം file.
ടെസ്റ്റ്ബെഞ്ച് സ്ക്രിപ്റ്റുകൾ
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do ടെസ്റ്റ്ബെഞ്ച് പ്രവർത്തിപ്പിക്കുന്നതിന് സീമെൻസ് EDA QuestaSim സ്ക്രിപ്റ്റ്.
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh ടെസ്റ്റ്ബെഞ്ച് പ്രവർത്തിപ്പിക്കുന്നതിനുള്ള സിനോപ്സിസ് VCS സ്ക്രിപ്റ്റ്.
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh സിനോപ്‌സിസ് വിസിഎസ് എംഎക്‌സ് സ്‌ക്രിപ്‌റ്റ് (വെരിലോഗ് എച്ച്‌ഡിഎൽ സംയോജിപ്പിച്ച്
SystemVerilog with VHDL) ടെസ്റ്റ്ബെഞ്ച് പ്രവർത്തിപ്പിക്കുന്നതിന്.
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl ടെസ്റ്റ്ബെഞ്ച് പ്രവർത്തിപ്പിക്കുന്നതിന് Aldec* Riviera-PRO സ്ക്രിപ്റ്റ്.
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh ടെസ്റ്റ്ബെഞ്ച് പ്രവർത്തിപ്പിക്കുന്നതിനുള്ള Cadence* Xcelium സ്ക്രിപ്റ്റ്.

പട്ടിക 2. eCPRI ഇൻ്റൽ FPGA IP കോർ ഹാർഡ്‌വെയർ ഡിസൈൻ എക്സ്ample File വിവരണങ്ങൾ

File പേരുകൾ വിവരണങ്ങൾ
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് file.
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് ക്രമീകരണം file.
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc സിനോപ്സിസ് ഡിസൈൻ നിയന്ത്രണങ്ങൾ fileഎസ്. നിങ്ങൾക്ക് ഇവ പകർത്താനും പരിഷ്ക്കരിക്കാനും കഴിയും fileനിങ്ങളുടെ സ്വന്തം ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 ഡിസൈനിനുള്ളതാണ്.
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv ടോപ്പ് ലെവൽ വെരിലോഗ് എച്ച്ഡിഎൽ ഡിസൈൻ എക്സിample file.
<design_example_dir>/synthesis/testbench/ecpri_ed.sv DUT ഉം മറ്റ് ടെസ്റ്റ്ബെഞ്ച് ഘടകങ്ങളും സ്ഥാപിക്കുന്ന DUT റാപ്പർ.
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl പ്രധാന file സിസ്റ്റം കൺസോൾ ആക്സസ് ചെയ്യുന്നതിന് (ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 എച്ച്-ടൈൽ, ഇ-ടൈൽ ഡിസൈനുകളിൽ ലഭ്യമാണ്).
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl പ്രധാന file സിസ്റ്റം കൺസോൾ ആക്സസ് ചെയ്യുന്നതിന് (ഇൻ്റൽ അരിയ 10 ഡിസൈനുകളിൽ ലഭ്യമാണ്).
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl പ്രധാന file സിസ്റ്റം കൺസോൾ ആക്സസ് ചെയ്യുന്നതിന് (Intel Agilex 7 ഡിസൈനുകളിൽ ലഭ്യമാണ്).

1.4 ഡിസൈൻ എക്സിമുലേറ്റിംഗ്ampലെ ടെസ്റ്റ്ബെഞ്ച്
ചിത്രം 4. നടപടിക്രമംeCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ - ചിത്രം 4

ടെസ്റ്റ് ബെഞ്ച് അനുകരിക്കാൻ ഈ ഘട്ടങ്ങൾ പാലിക്കുക:

  1. കമാൻഡ് പ്രോംപ്റ്റിൽ, ടെസ്റ്റ്ബെഞ്ച് സിമുലേഷൻ ഡയറക്ടറിയിലേക്ക് മാറ്റുകample_dir>/simulation/setup_scripts.
  2. Intel Agilex F-tile ഉപകരണ വ്യതിയാനങ്ങൾക്കായി, ഈ ഘട്ടങ്ങൾ പാലിക്കുക:
    എ. എന്നതിലേക്ക് നാവിഗേറ്റ് ചെയ്യുകample_dir>/simulation/quartus ഡയറക്ടറി താഴെ ഈ രണ്ട് കമാൻഡുകൾ പ്രവർത്തിപ്പിക്കുക: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
    പകരമായി, നിങ്ങൾക്ക് ഇൻ്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷനിൽ ecpri_ed.qpf പ്രോജക്റ്റ് തുറന്ന് പിന്തുണ ലോജിക് ജനറേഷൻ വരെ സമാഹരിക്കാവുന്നതാണ്.tage.
    ബി. ഇതിലേക്ക് നാവിഗേറ്റ് ചെയ്യുകample_dir>/simulation/setup_scripts ഡയറക്ടറി.
    സി. ഇനിപ്പറയുന്ന കമാൻഡ് പ്രവർത്തിപ്പിക്കുക: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf
  3. നിങ്ങൾക്ക് ഇഷ്ടമുള്ള പിന്തുണയുള്ള സിമുലേറ്ററിനായി സിമുലേഷൻ സ്ക്രിപ്റ്റ് പ്രവർത്തിപ്പിക്കുക. സ്ക്രിപ്റ്റ് കംപൈൽ ചെയ്യുകയും സിമുലേറ്ററിൽ ടെസ്റ്റ്ബെഞ്ച് പ്രവർത്തിപ്പിക്കുകയും ചെയ്യുന്നു. ടെസ്റ്റ് ബെഞ്ച് അനുകരിക്കുന്നതിനുള്ള ഘട്ടങ്ങൾ പട്ടിക കാണുക.
    കുറിപ്പ്: സിമുലേഷനുള്ള വിഎച്ച്ഡിഎൽ ഭാഷാ പിന്തുണ QuestaSim, VCS MX സിമുലേറ്ററുകളിൽ മാത്രമേ ലഭ്യമാകൂ. പട്ടികയിൽ ലിസ്റ്റ് ചെയ്തിരിക്കുന്ന എല്ലാ സിമുലേറ്ററുകൾക്കും സിമുലേഷനുള്ള വെരിലോഗ് ഭാഷാ പിന്തുണ ലഭ്യമാണ്: ടെസ്റ്റ്ബെഞ്ച് അനുകരിക്കാനുള്ള നടപടികൾ.
  4. ഫലങ്ങൾ വിശകലനം ചെയ്യുക. വിജയകരമായ ടെസ്റ്റ്ബെഞ്ച് പാക്കറ്റുകൾ അയയ്ക്കുകയും സ്വീകരിക്കുകയും ചെയ്യുന്നു, കൂടാതെ "പാസ്ഡ്" പ്രദർശിപ്പിക്കുകയും ചെയ്യുന്നു.

പട്ടിക 3. ടെസ്റ്റ്ബെഞ്ച് അനുകരിക്കുന്നതിനുള്ള നടപടികൾ

സിമുലേറ്റർ നിർദ്ദേശങ്ങൾ
ക്വസ്റ്റസിം കമാൻഡ് ലൈനിൽ, vsim -do run_vsim.do എന്ന് ടൈപ്പ് ചെയ്യുക QuestaSim GUI കൊണ്ടുവരാതെ അനുകരിക്കാൻ നിങ്ങൾ ആഗ്രഹിക്കുന്നുവെങ്കിൽ, vsim -c -do run_vsim.do എന്ന് ടൈപ്പ് ചെയ്യുക.
വി.സി.എസ് • കമാൻഡ് ലൈനിൽ, sh run_vcs.sh എന്ന് ടൈപ്പ് ചെയ്യുക
• ഇതിലേക്ക് നാവിഗേറ്റ് ചെയ്യുകample_dir>/simulation/setup_scripts/ synopsys/vcs താഴെ പറയുന്ന കമാൻഡ് പ്രവർത്തിപ്പിക്കുക: sh run_vcs.sh
VCS MX കമാൻഡ് ലൈനിൽ, sh run_vcsmx.sh എന്ന് ടൈപ്പ് ചെയ്യുക
റിവിയേര-പിആർഒ കമാൻഡ് ലൈനിൽ, vsim -c -do run_rivierapro.tcl എന്ന് ടൈപ്പ് ചെയ്യുക
കുറിപ്പ്: ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 എച്ച്-ടൈൽ ഡിസൈൻ വ്യതിയാനങ്ങളിൽ മാത്രമേ പിന്തുണയുള്ളൂ.
Xcelium(1) കമാൻഡ് ലൈനിൽ, sh run_xcelium.sh എന്ന് ടൈപ്പ് ചെയ്യുക
  1. ഈ സിമുലേറ്റർ eCPRI Intel FPGA IP ഡിസൈൻ മുൻ പിന്തുണയ്ക്കുന്നില്ലampIWF ഫീച്ചർ പ്രവർത്തനക്ഷമമാക്കി le ജനറേറ്റഡ്.

Sample ഔട്ട്പുട്ട്: ഇനിപ്പറയുന്ന എസ്ample ഔട്ട്പുട്ട് eCPRI IP ഡിസൈൻ എക്സിയുടെ വിജയകരമായ സിമുലേഷൻ ടെസ്റ്റ് റൺ വ്യക്തമാക്കുന്നുampIWF ഫീച്ചർ ഇല്ലാതെ le ചാനലുകളുടെ എണ്ണം = 4 ഉപയോഗിച്ച് പ്രവർത്തനക്ഷമമാക്കി:

# RX വിന്യാസത്തിനായി കാത്തിരിക്കുന്നു
# RX ഡെസ്‌ക്യൂ ലോക്ക് ചെയ്‌തു
# RX ലെയ്ൻ അലൈൻമെൻ്റ് ലോക്ക് ചെയ്തു
# ലിങ്ക് തെറ്റ് വ്യക്തമാകുന്നതിനായി കാത്തിരിക്കുന്നു
# ലിങ്ക് തെറ്റ് വ്യക്തമാണ്
# MAC ഉറവിട വിലാസം 0_0 ചാനൽ 0: 33445566
# MAC ഉറവിട വിലാസം 0_1 ചാനൽ 0: 00007788
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 0_0 ചാനൽ 0: 33445566
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 0_1 ചാനൽ 0: 00007788
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 1_0 ചാനൽ 0: 11223344
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 1_1 ചാനൽ 0: 00005566
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 2_0 ചാനൽ 0: 22334455
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 2_1 ചാനൽ 0: 00006677
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 3_0 ചാനൽ 0: 44556677
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 3_1 ചാനൽ 0: 00008899
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 4_0 ചാനൽ 0: 66778899
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 4_1 ചാനൽ 0: 0000aabb
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 5_0 ചാനൽ 0: 778899aa
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 5_1 ചാനൽ 0: 0000bbcc
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 6_0 ചാനൽ 0: 8899aabb
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 6_1 ചാനൽ 0: 0000ccdd
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 7_0 ചാനൽ 0: 99aabbcc
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 7_1 ചാനൽ 0: 0000ddee
# eCPRI കോമൺ കൺട്രോൾ ചാനൽ 0: 00000041
# ഇൻ്ററപ്റ്റ് eCPRI കോമൺ കൺട്രോൾ ചാനൽ 0: 00000241 പ്രവർത്തനക്ഷമമാക്കുക
# eCPRI പതിപ്പ് ചാനൽ 0: 2
# MAC ഉറവിട വിലാസം 0_0 ചാനൽ 1: 33445566
# MAC ഉറവിട വിലാസം 0_1 ചാനൽ 1: 00007788
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 0_0 ചാനൽ 1: 33445566
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 0_1 ചാനൽ 1: 00007788
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 1_0 ചാനൽ 1: 11223344
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 1_1 ചാനൽ 1: 00005566
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 2_0 ചാനൽ 1: 22334455
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 2_1 ചാനൽ 1: 00006677
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 3_0 ചാനൽ 1: 44556677
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 3_1 ചാനൽ 1: 00008899
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 4_0 ചാനൽ 1: 66778899
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 4_1 ചാനൽ 1: 0000aabb
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 5_0 ചാനൽ 1: 778899aa
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 5_1 ചാനൽ 1: 0000bbcc
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 6_0 ചാനൽ 1: 8899aabb
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 6_1 ചാനൽ 1: 0000ccdd
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 7_0 ചാനൽ 1: 99aabbcc
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 7_1 ചാനൽ 1: 0000ddee
# eCPRI കോമൺ കൺട്രോൾ ചാനൽ 1: 00000041
# ഇൻ്ററപ്റ്റ് eCPRI കോമൺ കൺട്രോൾ ചാനൽ 1: 00000241 പ്രവർത്തനക്ഷമമാക്കുക
# eCPRI പതിപ്പ് ചാനൽ 1: 2
# MAC ഉറവിട വിലാസം 0_0 ചാനൽ 2: 33445566
# MAC ഉറവിട വിലാസം 0_1 ചാനൽ 2: 00007788
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 0_0 ചാനൽ 2: 33445566
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 0_1 ചാനൽ 2: 00007788
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 1_0 ചാനൽ 2: 11223344
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 1_1 ചാനൽ 2: 00005566
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 2_0 ചാനൽ 2: 22334455
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 2_1 ചാനൽ 2: 00006677
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 3_0 ചാനൽ 2: 44556677
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 3_1 ചാനൽ 2: 00008899
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 4_0 ചാനൽ 2: 66778899
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 4_1 ചാനൽ 2: 0000aabb
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 5_0 ചാനൽ 2: 778899aa
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 5_1 ചാനൽ 2: 0000bbcc
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 6_0 ചാനൽ 2: 8899aabb
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 6_1 ചാനൽ 2: 0000ccdd
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 7_0 ചാനൽ 2: 99aabbcc
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 7_1 ചാനൽ 2: 0000ddee
# eCPRI കോമൺ കൺട്രോൾ ചാനൽ 2: 00000041
# ഇൻ്ററപ്റ്റ് eCPRI കോമൺ കൺട്രോൾ ചാനൽ 2: 00000241 പ്രവർത്തനക്ഷമമാക്കുക
# eCPRI പതിപ്പ് ചാനൽ 2: 2
# MAC ഉറവിട വിലാസം 0_0 ചാനൽ 3: 33445566
# MAC ഉറവിട വിലാസം 0_1 ചാനൽ 3: 00007788
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 0_0 ചാനൽ 3: 33445566
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 0_1 ചാനൽ 3: 00007788
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 1_0 ചാനൽ 3: 11223344
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 1_1 ചാനൽ 3: 00005566
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 2_0 ചാനൽ 3: 22334455
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 2_1 ചാനൽ 3: 00006677
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 3_0 ചാനൽ 3: 44556677
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 3_1 ചാനൽ 3: 00008899
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 4_0 ചാനൽ 3: 66778899
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 4_1 ചാനൽ 3: 0000aabb
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 5_0 ചാനൽ 3: 778899aa
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 5_1 ചാനൽ 3: 0000bbcc
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 6_0 ചാനൽ 3: 8899aabb
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 6_1 ചാനൽ 3: 0000ccdd
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 7_0 ചാനൽ 3: 99aabbcc
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 7_1 ചാനൽ 3: 0000ddee
# eCPRI കോമൺ കൺട്രോൾ ചാനൽ 3: 00000041
# ഇൻ്ററപ്റ്റ് eCPRI കോമൺ കൺട്രോൾ ചാനൽ 3: 00000241 പ്രവർത്തനക്ഷമമാക്കുക
# eCPRI പതിപ്പ് ചാനൽ 3: 2
#_________________________________________________________
# വിവരം: റീസെറ്റ് നില കഴിഞ്ഞു
#_________________________________________________________
#
#
# ചാനൽ 0 eCPRI TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 eCPRI TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 eCPRI RX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 eCPRI RX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 ബാഹ്യ PTP TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 ബാഹ്യ PTP TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 ബാഹ്യ MISC TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 ബാഹ്യ MISC TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 ബാഹ്യ RX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 ബാഹ്യ RX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 eCPRI TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 eCPRI TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 eCPRI RX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 eCPRI RX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 ബാഹ്യ PTP TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 ബാഹ്യ PTP TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 ബാഹ്യ MISC TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 ബാഹ്യ MISC TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 ബാഹ്യ RX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 ബാഹ്യ RX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 eCPRI TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 eCPRI TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 eCPRI RX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 eCPRI RX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 ബാഹ്യ PTP TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 ബാഹ്യ PTP TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 ബാഹ്യ MISC TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 ബാഹ്യ MISC TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 ബാഹ്യ RX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 ബാഹ്യ RX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 eCPRI TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 eCPRI TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 eCPRI RX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 eCPRI RX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 ബാഹ്യ PTP TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 ബാഹ്യ PTP TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 ബാഹ്യ MISC TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 ബാഹ്യ MISC TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 ബാഹ്യ RX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 ബാഹ്യ RX EOP-കളുടെ എണ്ണം : 0
#_________________________________________________________
# വിവരം: പാക്കറ്റുകൾ കൈമാറാൻ ആരംഭിക്കുക
#_________________________________________________________
#
#
# വിവരം: ചാനൽ 0 eCPRI TX ട്രാഫിക് കൈമാറ്റം പൂർത്തിയാകുന്നതിനായി കാത്തിരിക്കുന്നു
# വിവരം: ചാനൽ 0 eCPRI TX ട്രാഫിക് കൈമാറ്റം പൂർത്തിയായി
# വിവരം: ചാനൽ 0 eCPRI എക്സ്റ്റേണൽ TX PTP ട്രാഫിക് ട്രാൻസ്ഫറിനായി കാത്തിരിക്കുന്നു
പൂർണ്ണമായ
# വിവരം: ചാനൽ 0 eCPRI എക്സ്റ്റേണൽ TX PTP ട്രാഫിക് കൈമാറ്റം പൂർത്തിയായി
# വിവരം: ചാനൽ 0 ഇസിപിആർഐ എക്‌സ്‌റ്റേണൽ ടിഎക്‌സ് മറ്റ് ട്രാഫിക് ട്രാൻസ്‌ഫറിനായി കാത്തിരിക്കുന്നു
പൂർണ്ണമായ
# വിവരം: ചാനൽ 0 eCPRI എക്സ്റ്റേണൽ TX വിവിധ ട്രാഫിക് ട്രാൻസ്ഫർ പൂർത്തിയായി
# വിവരം: ചാനൽ 1 eCPRI TX ട്രാഫിക് കൈമാറ്റം പൂർത്തിയാകുന്നതിനായി കാത്തിരിക്കുന്നു
# വിവരം: ചാനൽ 1 eCPRI TX ട്രാഫിക് കൈമാറ്റം പൂർത്തിയായി
# വിവരം: ചാനൽ 1 eCPRI എക്സ്റ്റേണൽ TX PTP ട്രാഫിക് ട്രാൻസ്ഫറിനായി കാത്തിരിക്കുന്നു
പൂർണ്ണമായ
# വിവരം: ചാനൽ 1 eCPRI എക്സ്റ്റേണൽ TX PTP ട്രാഫിക് കൈമാറ്റം പൂർത്തിയായി
# വിവരം: ചാനൽ 1 ഇസിപിആർഐ എക്‌സ്‌റ്റേണൽ ടിഎക്‌സ് മറ്റ് ട്രാഫിക് ട്രാൻസ്‌ഫറിനായി കാത്തിരിക്കുന്നു
പൂർണ്ണമായ
# വിവരം: ചാനൽ 1 eCPRI എക്സ്റ്റേണൽ TX വിവിധ ട്രാഫിക് ട്രാൻസ്ഫർ പൂർത്തിയായി
# വിവരം: ചാനൽ 2 eCPRI TX ട്രാഫിക് കൈമാറ്റം പൂർത്തിയാകുന്നതിനായി കാത്തിരിക്കുന്നു
# വിവരം: ചാനൽ 2 eCPRI TX ട്രാഫിക് കൈമാറ്റം പൂർത്തിയായി
# വിവരം: ചാനൽ 2 eCPRI എക്സ്റ്റേണൽ TX PTP ട്രാഫിക് ട്രാൻസ്ഫറിനായി കാത്തിരിക്കുന്നു
പൂർണ്ണമായ
# വിവരം: ചാനൽ 2 eCPRI എക്സ്റ്റേണൽ TX PTP ട്രാഫിക് കൈമാറ്റം പൂർത്തിയായി
# വിവരം: ചാനൽ 2 ഇസിപിആർഐ എക്‌സ്‌റ്റേണൽ ടിഎക്‌സ് മറ്റ് ട്രാഫിക് ട്രാൻസ്‌ഫറിനായി കാത്തിരിക്കുന്നു
പൂർണ്ണമായ
# വിവരം: ചാനൽ 2 eCPRI എക്സ്റ്റേണൽ TX വിവിധ ട്രാഫിക് ട്രാൻസ്ഫർ പൂർത്തിയായി
# വിവരം: ചാനൽ 3 eCPRI TX ട്രാഫിക് കൈമാറ്റം പൂർത്തിയാകുന്നതിനായി കാത്തിരിക്കുന്നു
# വിവരം: ചാനൽ 3 eCPRI TX ട്രാഫിക് കൈമാറ്റം പൂർത്തിയായി
# വിവരം: ചാനൽ 3 eCPRI എക്സ്റ്റേണൽ TX PTP ട്രാഫിക് ട്രാൻസ്ഫറിനായി കാത്തിരിക്കുന്നു
പൂർണ്ണമായ
# വിവരം: ചാനൽ 3 eCPRI എക്സ്റ്റേണൽ TX PTP ട്രാഫിക് കൈമാറ്റം പൂർത്തിയായി
# വിവരം: ചാനൽ 3 ഇസിപിആർഐ എക്‌സ്‌റ്റേണൽ ടിഎക്‌സ് മറ്റ് ട്രാഫിക് ട്രാൻസ്‌ഫറിനായി കാത്തിരിക്കുന്നു
പൂർണ്ണമായ
# വിവരം: ചാനൽ 3 eCPRI എക്സ്റ്റേണൽ TX വിവിധ ട്രാഫിക് ട്രാൻസ്ഫർ പൂർത്തിയായി
#_________________________________________________________
# വിവരം: പാക്കറ്റുകൾ കൈമാറുന്നത് നിർത്തുക
#_________________________________________________________
#
#
#_________________________________________________________
# വിവരം: പാക്കറ്റുകളുടെ സ്ഥിതിവിവരക്കണക്കുകൾ പരിശോധിക്കുന്നു
#_________________________________________________________
#
#
# ചാനൽ 0 eCPRI SOP-കൾ കൈമാറി: 300
# ചാനൽ 0 eCPRI EOP-കൾ പ്രക്ഷേപണം ചെയ്തു: 300
# ചാനൽ 0 eCPRI SOP-കൾ ലഭിച്ചു: 300
# ചാനൽ 0 eCPRI EOP-കൾ ലഭിച്ചു: 300
# ചാനൽ 0 eCPRI പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 0 എക്‌സ്‌റ്റേണൽ PTP SOP-കൾ കൈമാറി: 4
# ചാനൽ 0 എക്സ്റ്റേണൽ PTP EOP-കൾ കൈമാറ്റം ചെയ്തു: 4
# ചാനൽ 0 എക്‌സ്‌റ്റേണൽ MISC SOP-കൾ കൈമാറി: 128
# ചാനൽ 0 എക്സ്റ്റേണൽ MISC EOP-കൾ സംപ്രേഷണം ചെയ്തു: 128
# ചാനൽ 0-ന് ലഭിച്ച ബാഹ്യ SOP-കൾ: 132
# ചാനൽ 0 ബാഹ്യ EOP-കൾ ലഭിച്ചു: 132
# ചാനൽ 0-ന് ലഭിച്ച ബാഹ്യ PTP SOP-കൾ: 4
# ചാനൽ 0-ന് ലഭിച്ച ബാഹ്യ PTP EOP-കൾ: 4
# ചാനൽ 0 ബാഹ്യ MISC SOP-കൾ ലഭിച്ചു: 128
# ചാനൽ 0 ബാഹ്യ MISC EOP-കൾ ലഭിച്ചു: 128
# ചാനൽ 0 ബാഹ്യ പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 0 ബാഹ്യ സമയക്രമംamp വിരലടയാള പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 1 eCPRI SOP-കൾ കൈമാറി: 300
# ചാനൽ 1 eCPRI EOP-കൾ പ്രക്ഷേപണം ചെയ്തു: 300
# ചാനൽ 1 eCPRI SOP-കൾ ലഭിച്ചു: 300
# ചാനൽ 1 eCPRI EOP-കൾ ലഭിച്ചു: 300
# ചാനൽ 1 eCPRI പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 1 എക്‌സ്‌റ്റേണൽ PTP SOP-കൾ കൈമാറി: 4
# ചാനൽ 1 എക്സ്റ്റേണൽ PTP EOP-കൾ കൈമാറ്റം ചെയ്തു: 4
# ചാനൽ 1 എക്‌സ്‌റ്റേണൽ MISC SOP-കൾ കൈമാറി: 128
# ചാനൽ 1 എക്സ്റ്റേണൽ MISC EOP-കൾ സംപ്രേഷണം ചെയ്തു: 128
# ചാനൽ 1-ന് ലഭിച്ച ബാഹ്യ SOP-കൾ: 132
# ചാനൽ 1 ബാഹ്യ EOP-കൾ ലഭിച്ചു: 132
# ചാനൽ 1-ന് ലഭിച്ച ബാഹ്യ PTP SOP-കൾ: 4
# ചാനൽ 1-ന് ലഭിച്ച ബാഹ്യ PTP EOP-കൾ: 4
# ചാനൽ 1 ബാഹ്യ MISC SOP-കൾ ലഭിച്ചു: 128
# ചാനൽ 1 ബാഹ്യ MISC EOP-കൾ ലഭിച്ചു: 128
# ചാനൽ 1 ബാഹ്യ പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 1 ബാഹ്യ സമയക്രമംamp വിരലടയാള പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 2 eCPRI SOP-കൾ കൈമാറി: 300
# ചാനൽ 2 eCPRI EOP-കൾ പ്രക്ഷേപണം ചെയ്തു: 300
# ചാനൽ 2 eCPRI SOP-കൾ ലഭിച്ചു: 300
# ചാനൽ 2 eCPRI EOP-കൾ ലഭിച്ചു: 300
# ചാനൽ 2 eCPRI പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 2 എക്‌സ്‌റ്റേണൽ PTP SOP-കൾ കൈമാറി: 4
# ചാനൽ 2 എക്സ്റ്റേണൽ PTP EOP-കൾ കൈമാറ്റം ചെയ്തു: 4
# ചാനൽ 2 എക്‌സ്‌റ്റേണൽ MISC SOP-കൾ കൈമാറി: 128
# ചാനൽ 2 എക്സ്റ്റേണൽ MISC EOP-കൾ സംപ്രേഷണം ചെയ്തു: 128
# ചാനൽ 2-ന് ലഭിച്ച ബാഹ്യ SOP-കൾ: 132
# ചാനൽ 2 ബാഹ്യ EOP-കൾ ലഭിച്ചു: 132
# ചാനൽ 2-ന് ലഭിച്ച ബാഹ്യ PTP SOP-കൾ: 4
# ചാനൽ 2-ന് ലഭിച്ച ബാഹ്യ PTP EOP-കൾ: 4
# ചാനൽ 2 ബാഹ്യ MISC SOP-കൾ ലഭിച്ചു: 128
# ചാനൽ 2 ബാഹ്യ MISC EOP-കൾ ലഭിച്ചു: 128
# ചാനൽ 2 ബാഹ്യ പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 2 ബാഹ്യ സമയക്രമംamp വിരലടയാള പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 3 eCPRI SOP-കൾ കൈമാറി: 300
# ചാനൽ 3 eCPRI EOP-കൾ പ്രക്ഷേപണം ചെയ്തു: 300
# ചാനൽ 3 eCPRI SOP-കൾ ലഭിച്ചു: 300
# ചാനൽ 3 eCPRI EOP-കൾ ലഭിച്ചു: 300
# ചാനൽ 3 eCPRI പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 3 എക്‌സ്‌റ്റേണൽ PTP SOP-കൾ കൈമാറി: 4
# ചാനൽ 3 എക്സ്റ്റേണൽ PTP EOP-കൾ കൈമാറ്റം ചെയ്തു: 4
# ചാനൽ 3 എക്‌സ്‌റ്റേണൽ MISC SOP-കൾ കൈമാറി: 128
# ചാനൽ 3 എക്സ്റ്റേണൽ MISC EOP-കൾ സംപ്രേഷണം ചെയ്തു: 128
# ചാനൽ 3-ന് ലഭിച്ച ബാഹ്യ SOP-കൾ: 132
# ചാനൽ 3 ബാഹ്യ EOP-കൾ ലഭിച്ചു: 132
# ചാനൽ 3-ന് ലഭിച്ച ബാഹ്യ PTP SOP-കൾ: 4
# ചാനൽ 3-ന് ലഭിച്ച ബാഹ്യ PTP EOP-കൾ: 4
# ചാനൽ 3 ബാഹ്യ MISC SOP-കൾ ലഭിച്ചു: 128
# ചാനൽ 3 ബാഹ്യ MISC EOP-കൾ ലഭിച്ചു: 128
# ചാനൽ 3 ബാഹ്യ പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 3 ബാഹ്യ സമയക്രമംamp വിരലടയാള പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
#_________________________________________________________
# വിവരം: ടെസ്റ്റ് പാസായി
#
#_________________________________________________________

Sample ഔട്ട്പുട്ട്: ഇനിപ്പറയുന്ന എസ്ample ഔട്ട്പുട്ട് eCPRI IP ഡിസൈൻ എക്സിയുടെ വിജയകരമായ സിമുലേഷൻ ടെസ്റ്റ് റൺ വ്യക്തമാക്കുന്നുampചാനലുകളുടെ എണ്ണം = 4 ഉപയോഗിച്ച് IWF ഫീച്ചർ പ്രവർത്തനക്ഷമമാക്കി.

# CPRI TX പ്രവർത്തനക്ഷമമാക്കുക
# CPRI ചാനൽ 0 L1_CONFIG : 00000001
# CPRI ചാനൽ 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI ചാനൽ 1 L1_CONFIG : 00000001
# CPRI ചാനൽ 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI ചാനൽ 2 L1_CONFIG : 00000001
# CPRI ചാനൽ 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI ചാനൽ 3 L1_CONFIG : 00000001
# CPRI ചാനൽ 3 CPRI_CORE_CM_CONFIG : 00001ed4
# RX വിന്യാസത്തിനായി കാത്തിരിക്കുന്നു
# RX ഡെസ്‌ക്യൂ ലോക്ക് ചെയ്‌തു
# RX ലെയ്ൻ അലൈൻമെൻ്റ് ലോക്ക് ചെയ്തു
# ലിങ്ക് തെറ്റ് വ്യക്തമാകുന്നതിനായി കാത്തിരിക്കുന്നു
# ലിങ്ക് തെറ്റ് വ്യക്തമാണ്
# MAC ഉറവിട വിലാസം 0_0 ചാനൽ 0: 33445566
# MAC ഉറവിട വിലാസം 0_1 ചാനൽ 0: 00007788
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 0_0 ചാനൽ 0: 33445566
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 0_1 ചാനൽ 0: 00007788
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 1_0 ചാനൽ 0: 11223344
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 1_1 ചാനൽ 0: 00005566
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 2_0 ചാനൽ 0: 22334455
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 2_1 ചാനൽ 0: 00006677
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 3_0 ചാനൽ 0: 44556677
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 3_1 ചാനൽ 0: 00008899
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 4_0 ചാനൽ 0: 66778899
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 4_1 ചാനൽ 0: 0000aabb
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 5_0 ചാനൽ 0: 778899aa
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 5_1 ചാനൽ 0: 0000bbcc
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 6_0 ചാനൽ 0: 8899aabb
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 6_1 ചാനൽ 0: 0000ccdd
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 7_0 ചാനൽ 0: 99aabbcc
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 7_1 ചാനൽ 0: 0000ddee
# eCPRI കോമൺ കൺട്രോൾ ചാനൽ 0: 00000041
# ഇൻ്ററപ്റ്റ് eCPRI കോമൺ കൺട്രോൾ ചാനൽ 0: 00000241 പ്രവർത്തനക്ഷമമാക്കുക
# eCPRI പതിപ്പ് ചാനൽ 0: 2
# MAC ഉറവിട വിലാസം 0_0 ചാനൽ 1: 33445566
# MAC ഉറവിട വിലാസം 0_1 ചാനൽ 1: 00007788
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 0_0 ചാനൽ 1: 33445566
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 0_1 ചാനൽ 1: 00007788
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 1_0 ചാനൽ 1: 11223344
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 1_1 ചാനൽ 1: 00005566
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 2_0 ചാനൽ 1: 22334455
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 2_1 ചാനൽ 1: 00006677
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 3_0 ചാനൽ 1: 44556677
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 3_1 ചാനൽ 1: 00008899
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 4_0 ചാനൽ 1: 66778899
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 4_1 ചാനൽ 1: 0000aabb
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 5_0 ചാനൽ 1: 778899aa
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 5_1 ചാനൽ 1: 0000bbcc
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 6_0 ചാനൽ 1: 8899aabb
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 6_1 ചാനൽ 1: 0000ccdd
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 7_0 ചാനൽ 1: 99aabbcc
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 7_1 ചാനൽ 1: 0000ddee
# eCPRI കോമൺ കൺട്രോൾ ചാനൽ 1: 00000041
# ഇൻ്ററപ്റ്റ് eCPRI കോമൺ കൺട്രോൾ ചാനൽ 1: 00000241 പ്രവർത്തനക്ഷമമാക്കുക
# eCPRI പതിപ്പ് ചാനൽ 1: 2
# MAC ഉറവിട വിലാസം 0_0 ചാനൽ 2: 33445566
# MAC ഉറവിട വിലാസം 0_1 ചാനൽ 2: 00007788
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 0_0 ചാനൽ 2: 33445566
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 0_1 ചാനൽ 2: 00007788
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 1_0 ചാനൽ 2: 11223344
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 1_1 ചാനൽ 2: 00005566
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 2_0 ചാനൽ 2: 22334455
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 2_1 ചാനൽ 2: 00006677
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 3_0 ചാനൽ 2: 44556677
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 3_1 ചാനൽ 2: 00008899
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 4_0 ചാനൽ 2: 66778899
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 4_1 ചാനൽ 2: 0000aabb
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 5_0 ചാനൽ 2: 778899aa
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 5_1 ചാനൽ 2: 0000bbcc
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 6_0 ചാനൽ 2: 8899aabb
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 6_1 ചാനൽ 2: 0000ccdd
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 7_0 ചാനൽ 2: 99aabbcc
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 7_1 ചാനൽ 2: 0000ddee
# eCPRI കോമൺ കൺട്രോൾ ചാനൽ 2: 00000041
# ഇൻ്ററപ്റ്റ് eCPRI കോമൺ കൺട്രോൾ ചാനൽ 2: 00000241 പ്രവർത്തനക്ഷമമാക്കുക
# eCPRI പതിപ്പ് ചാനൽ 2: 2
# MAC ഉറവിട വിലാസം 0_0 ചാനൽ 3: 33445566
# MAC ഉറവിട വിലാസം 0_1 ചാനൽ 3: 00007788
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 0_0 ചാനൽ 3: 33445566
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 0_1 ചാനൽ 3: 00007788
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 1_0 ചാനൽ 3: 11223344
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 1_1 ചാനൽ 3: 00005566
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 2_0 ചാനൽ 3: 22334455
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 2_1 ചാനൽ 3: 00006677
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 3_0 ചാനൽ 3: 44556677
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 3_1 ചാനൽ 3: 00008899
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 4_0 ചാനൽ 3: 66778899
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 4_1 ചാനൽ 3: 0000aabb
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 5_0 ചാനൽ 3: 778899aa
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 5_1 ചാനൽ 3: 0000bbcc
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 6_0 ചാനൽ 3: 8899aabb
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 6_1 ചാനൽ 3: 0000ccdd
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 7_0 ചാനൽ 3: 99aabbcc
# MAC ലക്ഷ്യസ്ഥാന വിലാസം 7_1 ചാനൽ 3: 0000ddee
# eCPRI കോമൺ കൺട്രോൾ ചാനൽ 3: 00000041
# ഇൻ്ററപ്റ്റ് eCPRI കോമൺ കൺട്രോൾ ചാനൽ 3: 00000241 പ്രവർത്തനക്ഷമമാക്കുക
# eCPRI പതിപ്പ് ചാനൽ 3: 2
# CPRI യുടെ HSYNC ലിങ്ക് അപ് നില കൈവരിക്കുന്നതിനായി കാത്തിരിക്കുന്നു
# CPRI ചാനൽ 0 HSYNC നില കൈവരിച്ചു
# CPRI ചാനൽ 1 HSYNC നില കൈവരിച്ചു
# CPRI ചാനൽ 2 HSYNC നില കൈവരിച്ചു
# CPRI ചാനൽ 3 HSYNC നില കൈവരിച്ചു
# 11100250000 nego_bitrate_complete-ലേക്ക് 1 എഴുതുക
# 11100650000 പോളിംഗ് PROT_VER ചാനൽ 0
#_________________________________________________________
# 11100850000 പോളിംഗ് രജിസ്റ്റർ: a0000010
#_________________________________________________________
# 13105050000 പോളിംഗ് PROT_VER ചാനൽ 1
#_________________________________________________________
# 13105250000 പോളിംഗ് രജിസ്റ്റർ: a0800010
#_________________________________________________________
# 13105950000 പോളിംഗ് PROT_VER ചാനൽ 2
#_________________________________________________________
# 13106150000 പോളിംഗ് രജിസ്റ്റർ: a1000010
#_________________________________________________________
# 13106850000 പോളിംഗ് PROT_VER ചാനൽ 3
#_________________________________________________________
# 13107050000 പോളിംഗ് രജിസ്റ്റർ: a1800010
#_________________________________________________________
# 13107750000 nego_protol_complete-ലേക്ക് 1 എഴുതുക
# 13108150000 പോളിംഗ് CM_STATUS.rx_fast_cm_ptr_valid Channel 0
#_________________________________________________________
# 13108350000 പോളിംഗ് രജിസ്റ്റർ: a0000020
#_________________________________________________________
# 14272050000 പോളിംഗ് CM_STATUS.rx_fast_cm_ptr_valid Channel 1
#_________________________________________________________
# 14272250000 പോളിംഗ് രജിസ്റ്റർ: a0800020
#_________________________________________________________
# 14272950000 പോളിംഗ് CM_STATUS.rx_fast_cm_ptr_valid Channel 2
#_________________________________________________________
# 14273150000 പോളിംഗ് രജിസ്റ്റർ: a1000020
#_________________________________________________________
# 14273850000 പോളിംഗ് CM_STATUS.rx_fast_cm_ptr_valid Channel 3
#_________________________________________________________
# 14274050000 പോളിംഗ് രജിസ്റ്റർ: a1800020
#_________________________________________________________
# 14274750000 nego_cm_complete ലേക്ക് 1 എഴുതുക
# 14275150000 nego_vss_complete-ലേക്ക് 1 എഴുതുക
# CPRI ചാനൽ 0-ന് വേണ്ടി കാത്തിരിക്കുന്നു HSYNC & സ്റ്റാർട്ടപ്പ് സീക്വൻസ് FSM STATE_F കൈവരിക്കാൻ
# CPRI ചാനൽ 0 HSYNC & സ്റ്റാർട്ടപ്പ് സീക്വൻസ് FSM STATE_F നേടി
# CPRI ചാനൽ 1-ന് വേണ്ടി കാത്തിരിക്കുന്നു HSYNC & സ്റ്റാർട്ടപ്പ് സീക്വൻസ് FSM STATE_F കൈവരിക്കാൻ
# CPRI ചാനൽ 1 HSYNC & സ്റ്റാർട്ടപ്പ് സീക്വൻസ് FSM STATE_F നേടി
# CPRI ചാനൽ 2-ന് വേണ്ടി കാത്തിരിക്കുന്നു HSYNC & സ്റ്റാർട്ടപ്പ് സീക്വൻസ് FSM STATE_F കൈവരിക്കാൻ
# CPRI ചാനൽ 2 HSYNC & സ്റ്റാർട്ടപ്പ് സീക്വൻസ് FSM STATE_F നേടി
# CPRI ചാനൽ 3-ന് വേണ്ടി കാത്തിരിക്കുന്നു HSYNC & സ്റ്റാർട്ടപ്പ് സീക്വൻസ് FSM STATE_F കൈവരിക്കാൻ
# CPRI ചാനൽ 3 HSYNC & സ്റ്റാർട്ടപ്പ് സീക്വൻസ് FSM STATE_F നേടി
#_________________________________________________________
# വിവരം: റീസെറ്റ് നില കഴിഞ്ഞു
#_________________________________________________________
#
#
# ചാനൽ 0 eCPRI TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 eCPRI TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 eCPRI RX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 eCPRI RX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 ബാഹ്യ PTP TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 ബാഹ്യ PTP TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 ബാഹ്യ MISC TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 ബാഹ്യ MISC TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 ബാഹ്യ RX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 0 ബാഹ്യ RX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 eCPRI TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 eCPRI TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 eCPRI RX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 eCPRI RX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 ബാഹ്യ PTP TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 ബാഹ്യ PTP TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 ബാഹ്യ MISC TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 ബാഹ്യ MISC TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 ബാഹ്യ RX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 1 ബാഹ്യ RX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 eCPRI TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 eCPRI TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 eCPRI RX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 eCPRI RX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 ബാഹ്യ PTP TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 ബാഹ്യ PTP TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 ബാഹ്യ MISC TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 ബാഹ്യ MISC TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 ബാഹ്യ RX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 2 ബാഹ്യ RX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 eCPRI TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 eCPRI TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 eCPRI RX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 eCPRI RX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 ബാഹ്യ PTP TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 ബാഹ്യ PTP TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 ബാഹ്യ MISC TX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 ബാഹ്യ MISC TX EOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 ബാഹ്യ RX SOP-കളുടെ എണ്ണം : 0
# ചാനൽ 3 ബാഹ്യ RX EOP-കളുടെ എണ്ണം : 0
#_________________________________________________________
# വിവരം: പാക്കറ്റുകൾ കൈമാറാൻ ആരംഭിക്കുക
#_________________________________________________________
#
#
# വിവരം: ചാനൽ 0 eCPRI TX ട്രാഫിക് കൈമാറ്റം പൂർത്തിയാകുന്നതിനായി കാത്തിരിക്കുന്നു
# വിവരം: ചാനൽ 0 eCPRI TX ട്രാഫിക് കൈമാറ്റം പൂർത്തിയായി
# വിവരം: ചാനൽ 0 eCPRI എക്സ്റ്റേണൽ TX PTP ട്രാഫിക് ട്രാൻസ്ഫറിനായി കാത്തിരിക്കുന്നു
പൂർണ്ണമായ
# വിവരം: ചാനൽ 0 eCPRI എക്സ്റ്റേണൽ TX PTP ട്രാഫിക് കൈമാറ്റം പൂർത്തിയായി
# വിവരം: ചാനൽ 0 ഇസിപിആർഐ എക്‌സ്‌റ്റേണൽ ടിഎക്‌സ് മറ്റ് ട്രാഫിക് ട്രാൻസ്‌ഫറിനായി കാത്തിരിക്കുന്നു
പൂർണ്ണമായ
# വിവരം: ചാനൽ 0 eCPRI എക്സ്റ്റേണൽ TX വിവിധ ട്രാഫിക് ട്രാൻസ്ഫർ പൂർത്തിയായി
# വിവരം: ചാനൽ 1 eCPRI TX ട്രാഫിക് കൈമാറ്റം പൂർത്തിയാകുന്നതിനായി കാത്തിരിക്കുന്നു
# വിവരം: ചാനൽ 1 eCPRI TX ട്രാഫിക് കൈമാറ്റം പൂർത്തിയായി
# വിവരം: ചാനൽ 1 eCPRI എക്സ്റ്റേണൽ TX PTP ട്രാഫിക് ട്രാൻസ്ഫറിനായി കാത്തിരിക്കുന്നു
പൂർണ്ണമായ
# വിവരം: ചാനൽ 1 eCPRI എക്സ്റ്റേണൽ TX PTP ട്രാഫിക് കൈമാറ്റം പൂർത്തിയായി
# വിവരം: ചാനൽ 1 ഇസിപിആർഐ എക്‌സ്‌റ്റേണൽ ടിഎക്‌സ് മറ്റ് ട്രാഫിക് ട്രാൻസ്‌ഫറിനായി കാത്തിരിക്കുന്നു
പൂർണ്ണമായ
# വിവരം: ചാനൽ 1 eCPRI എക്സ്റ്റേണൽ TX വിവിധ ട്രാഫിക് ട്രാൻസ്ഫർ പൂർത്തിയായി
# വിവരം: ചാനൽ 2 eCPRI TX ട്രാഫിക് കൈമാറ്റം പൂർത്തിയാകുന്നതിനായി കാത്തിരിക്കുന്നു
# വിവരം: ചാനൽ 2 eCPRI TX ട്രാഫിക് കൈമാറ്റം പൂർത്തിയായി
# വിവരം: ചാനൽ 2 eCPRI എക്സ്റ്റേണൽ TX PTP ട്രാഫിക് ട്രാൻസ്ഫറിനായി കാത്തിരിക്കുന്നു
പൂർണ്ണമായ
# വിവരം: ചാനൽ 2 eCPRI എക്സ്റ്റേണൽ TX PTP ട്രാഫിക് കൈമാറ്റം പൂർത്തിയായി
# വിവരം: ചാനൽ 2 ഇസിപിആർഐ എക്‌സ്‌റ്റേണൽ ടിഎക്‌സ് മറ്റ് ട്രാഫിക് ട്രാൻസ്‌ഫറിനായി കാത്തിരിക്കുന്നു
പൂർണ്ണമായ
# വിവരം: ചാനൽ 2 eCPRI എക്സ്റ്റേണൽ TX വിവിധ ട്രാഫിക് ട്രാൻസ്ഫർ പൂർത്തിയായി
# വിവരം: ചാനൽ 3 eCPRI TX ട്രാഫിക് കൈമാറ്റം പൂർത്തിയാകുന്നതിനായി കാത്തിരിക്കുന്നു
# വിവരം: ചാനൽ 3 eCPRI TX ട്രാഫിക് കൈമാറ്റം പൂർത്തിയായി
# വിവരം: ചാനൽ 3 eCPRI എക്സ്റ്റേണൽ TX PTP ട്രാഫിക് ട്രാൻസ്ഫറിനായി കാത്തിരിക്കുന്നു
പൂർണ്ണമായ
# വിവരം: ചാനൽ 3 eCPRI എക്സ്റ്റേണൽ TX PTP ട്രാഫിക് കൈമാറ്റം പൂർത്തിയായി
# വിവരം: ചാനൽ 3 ഇസിപിആർഐ എക്‌സ്‌റ്റേണൽ ടിഎക്‌സ് മറ്റ് ട്രാഫിക് ട്രാൻസ്‌ഫറിനായി കാത്തിരിക്കുന്നു
പൂർണ്ണമായ
# വിവരം: ചാനൽ 3 eCPRI എക്സ്റ്റേണൽ TX വിവിധ ട്രാഫിക് ട്രാൻസ്ഫർ പൂർത്തിയായി
#_________________________________________________________
# വിവരം: പാക്കറ്റുകൾ കൈമാറുന്നത് നിർത്തുക
#_________________________________________________________
#
#
#_________________________________________________________
# വിവരം: പാക്കറ്റുകളുടെ സ്ഥിതിവിവരക്കണക്കുകൾ പരിശോധിക്കുന്നു
#_________________________________________________________
#
#
# ചാനൽ 0 eCPRI SOP-കൾ കൈമാറി: 50
# ചാനൽ 0 eCPRI EOP-കൾ പ്രക്ഷേപണം ചെയ്തു: 50
# ചാനൽ 0 eCPRI SOP-കൾ ലഭിച്ചു: 50
# ചാനൽ 0 eCPRI EOP-കൾ ലഭിച്ചു: 50
# ചാനൽ 0 eCPRI പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 0 എക്‌സ്‌റ്റേണൽ PTP SOP-കൾ കൈമാറി: 4
# ചാനൽ 0 എക്സ്റ്റേണൽ PTP EOP-കൾ കൈമാറ്റം ചെയ്തു: 4
# ചാനൽ 0 എക്‌സ്‌റ്റേണൽ MISC SOP-കൾ കൈമാറി: 128
# ചാനൽ 0 എക്സ്റ്റേണൽ MISC EOP-കൾ സംപ്രേഷണം ചെയ്തു: 128
# ചാനൽ 0-ന് ലഭിച്ച ബാഹ്യ SOP-കൾ: 132
# ചാനൽ 0 ബാഹ്യ EOP-കൾ ലഭിച്ചു: 132
# ചാനൽ 0-ന് ലഭിച്ച ബാഹ്യ PTP SOP-കൾ: 4
# ചാനൽ 0-ന് ലഭിച്ച ബാഹ്യ PTP EOP-കൾ: 4
# ചാനൽ 0 ബാഹ്യ MISC SOP-കൾ ലഭിച്ചു: 128
# ചാനൽ 0 ബാഹ്യ MISC EOP-കൾ ലഭിച്ചു: 128
# ചാനൽ 0 ബാഹ്യ പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 0 ബാഹ്യ സമയക്രമംamp വിരലടയാള പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 1 eCPRI SOP-കൾ കൈമാറി: 50
# ചാനൽ 1 eCPRI EOP-കൾ പ്രക്ഷേപണം ചെയ്തു: 50
# ചാനൽ 1 eCPRI SOP-കൾ ലഭിച്ചു: 50
# ചാനൽ 1 eCPRI EOP-കൾ ലഭിച്ചു: 50
# ചാനൽ 1 eCPRI പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 1 എക്‌സ്‌റ്റേണൽ PTP SOP-കൾ കൈമാറി: 4
# ചാനൽ 1 എക്സ്റ്റേണൽ PTP EOP-കൾ കൈമാറ്റം ചെയ്തു: 4
# ചാനൽ 1 എക്‌സ്‌റ്റേണൽ MISC SOP-കൾ കൈമാറി: 128
# ചാനൽ 1 എക്സ്റ്റേണൽ MISC EOP-കൾ സംപ്രേഷണം ചെയ്തു: 128
# ചാനൽ 1-ന് ലഭിച്ച ബാഹ്യ SOP-കൾ: 132
# ചാനൽ 1 ബാഹ്യ EOP-കൾ ലഭിച്ചു: 132
# ചാനൽ 1-ന് ലഭിച്ച ബാഹ്യ PTP SOP-കൾ: 4
# ചാനൽ 1-ന് ലഭിച്ച ബാഹ്യ PTP EOP-കൾ: 4
# ചാനൽ 1 ബാഹ്യ MISC SOP-കൾ ലഭിച്ചു: 128
# ചാനൽ 1 ബാഹ്യ MISC EOP-കൾ ലഭിച്ചു: 128
# ചാനൽ 1 ബാഹ്യ പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 1 ബാഹ്യ സമയക്രമംamp വിരലടയാള പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 2 eCPRI SOP-കൾ കൈമാറി: 50
# ചാനൽ 2 eCPRI EOP-കൾ പ്രക്ഷേപണം ചെയ്തു: 50
# ചാനൽ 2 eCPRI SOP-കൾ ലഭിച്ചു: 50
# ചാനൽ 2 eCPRI EOP-കൾ ലഭിച്ചു: 50
# ചാനൽ 2 eCPRI പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 2 എക്‌സ്‌റ്റേണൽ PTP SOP-കൾ കൈമാറി: 4
# ചാനൽ 2 എക്സ്റ്റേണൽ PTP EOP-കൾ കൈമാറ്റം ചെയ്തു: 4
# ചാനൽ 2 എക്‌സ്‌റ്റേണൽ MISC SOP-കൾ കൈമാറി: 128
# ചാനൽ 2 എക്സ്റ്റേണൽ MISC EOP-കൾ സംപ്രേഷണം ചെയ്തു: 128
# ചാനൽ 2-ന് ലഭിച്ച ബാഹ്യ SOP-കൾ: 132
# ചാനൽ 2 ബാഹ്യ EOP-കൾ ലഭിച്ചു: 132
# ചാനൽ 2-ന് ലഭിച്ച ബാഹ്യ PTP SOP-കൾ: 4
# ചാനൽ 2-ന് ലഭിച്ച ബാഹ്യ PTP EOP-കൾ: 4
# ചാനൽ 2 ബാഹ്യ MISC SOP-കൾ ലഭിച്ചു: 128
# ചാനൽ 2 ബാഹ്യ MISC EOP-കൾ ലഭിച്ചു: 128
# ചാനൽ 2 ബാഹ്യ പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 2 ബാഹ്യ സമയക്രമംamp വിരലടയാള പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 3 eCPRI SOP-കൾ കൈമാറി: 50
# ചാനൽ 3 eCPRI EOP-കൾ പ്രക്ഷേപണം ചെയ്തു: 50
# ചാനൽ 3 eCPRI SOP-കൾ ലഭിച്ചു: 50
# ചാനൽ 3 eCPRI EOP-കൾ ലഭിച്ചു: 50
# ചാനൽ 3 eCPRI പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 3 എക്‌സ്‌റ്റേണൽ PTP SOP-കൾ കൈമാറി: 4
# ചാനൽ 3 എക്സ്റ്റേണൽ PTP EOP-കൾ കൈമാറ്റം ചെയ്തു: 4
# ചാനൽ 3 എക്‌സ്‌റ്റേണൽ MISC SOP-കൾ കൈമാറി: 128
# ചാനൽ 3 എക്സ്റ്റേണൽ MISC EOP-കൾ സംപ്രേഷണം ചെയ്തു: 128
# ചാനൽ 3-ന് ലഭിച്ച ബാഹ്യ SOP-കൾ: 132
# ചാനൽ 3 ബാഹ്യ EOP-കൾ ലഭിച്ചു: 132
# ചാനൽ 3-ന് ലഭിച്ച ബാഹ്യ PTP SOP-കൾ: 4
# ചാനൽ 3-ന് ലഭിച്ച ബാഹ്യ PTP EOP-കൾ: 4
# ചാനൽ 3 ബാഹ്യ MISC SOP-കൾ ലഭിച്ചു: 128
# ചാനൽ 3 ബാഹ്യ MISC EOP-കൾ ലഭിച്ചു: 128
# ചാനൽ 3 ബാഹ്യ പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
# ചാനൽ 3 ബാഹ്യ സമയക്രമംamp വിരലടയാള പിശക് റിപ്പോർട്ട് ചെയ്തു: 0
#_________________________________________________________
# വിവരം: ടെസ്റ്റ് പാസായി
#
#_________________________________________________________

1.4.1. ഇഥർനെറ്റ് ഐപിയിലേക്ക് ഡൈനാമിക് റീകോൺഫിഗറേഷൻ പ്രവർത്തനക്ഷമമാക്കുന്നു
ഡിഫോൾട്ടായി, eCPRI IP ഡിസൈൻ എക്സിയിൽ ഡൈനാമിക് റീകോൺഫിഗറേഷൻ പ്രവർത്തനരഹിതമാക്കിയിരിക്കുന്നുample കൂടാതെ ഇത് ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 (ഇ-ടൈൽ, എച്ച്-ടൈൽ), ഇൻ്റൽ അജിലെക്സ് 7 (ഇ-ടൈൽ) ഡിസൈൻ എക്സി എന്നിവയ്ക്ക് മാത്രമേ ബാധകമാകൂampലെസ്.

  1. സൃഷ്ടിച്ചതിൽ നിന്നും test_wrapper.sv-ൽ ഇനിപ്പറയുന്ന വരി നോക്കുകample_dir>/simulation/testbench ഡയറക്ടറി: പാരാമീറ്റർ ETHERNET_DR_EN = 0
  2. മൂല്യം 0-ൽ നിന്ന് 1-ലേക്ക് മാറ്റുക: പാരാമീറ്റർ ETHERNET_DR_EN = 1
  3. അതേ ജനറേറ്റ് ചെയ്ത മുൻ ഉപയോഗിച്ച് സിമുലേഷൻ വീണ്ടും പ്രവർത്തിപ്പിക്കുകample ഡിസൈൻ ഡയറക്ടറി.

1.5 കംപൈലേഷൻ-ഒൺലി പ്രോജക്റ്റ് സമാഹരിക്കുന്നു
സമാഹാരം-മാത്രം സമാഹരിക്കാൻ മുൻample പ്രോജക്റ്റ്, ഈ ഘട്ടങ്ങൾ പാലിക്കുക:

  1. സമാഹാര രൂപകൽപന ഉറപ്പാക്കുക exampലെ ജനറേഷൻ പൂർത്തിയായി.
  2. ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ സോഫ്‌റ്റ്‌വെയറിൽ, ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ പ്രോജക്‌റ്റ് തുറക്കുകample_dir>/synthesis/quartus/ ecpri_ed.qpf.
  3. പ്രോസസ്സിംഗ് മെനുവിൽ, സമാഹാരം ആരംഭിക്കുക ക്ലിക്കുചെയ്യുക.
  4. വിജയകരമായ സമാഹരണത്തിന് ശേഷം, സമയക്രമീകരണത്തിനും വിഭവ വിനിയോഗത്തിനുമുള്ള റിപ്പോർട്ടുകൾ നിങ്ങളുടെ ഇൻ്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ സെഷനിൽ ലഭ്യമാണ്. പ്രോസസ്സിംഗ് ➤ കംപൈലേഷൻ റിപ്പോർട്ട് എന്നതിലേക്ക് പോകുക view സമാഹാരത്തെക്കുറിച്ചുള്ള വിശദമായ റിപ്പോർട്ട്.
    ബന്ധപ്പെട്ട വിവരങ്ങൾ
    ബ്ലോക്ക് അടിസ്ഥാനമാക്കിയുള്ള ഡിസൈൻ ഫ്ലോകൾ

1.6 ഡിസൈൻ കംപൈൽ ചെയ്യുകയും കോൺഫിഗർ ചെയ്യുകയും ചെയ്യുന്നു Exampഹാർഡ്‌വെയറിൽ le
ഹാർഡ്‌വെയർ ഡിസൈൻ കംപൈൽ ചെയ്യാൻ മുൻampനിങ്ങളുടെ ഇൻ്റൽ ഉപകരണത്തിൽ ഇത് കോൺഫിഗർ ചെയ്യുക, ഈ ഘട്ടങ്ങൾ പാലിക്കുക:

  1. ഹാർഡ്‌വെയർ ഡിസൈൻ ഉറപ്പാക്കുക exampലെ ജനറേഷൻ പൂർത്തിയായി.
  2. ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ സോഫ്‌റ്റ്‌വെയറിൽ, ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് തുറക്കുകample_dir>/synthesis/quartus/ecpri_ed.qpf.
  3. പ്രോസസ്സിംഗ് മെനുവിൽ, സമാഹാരം ആരംഭിക്കുക ക്ലിക്കുചെയ്യുക.
  4. വിജയകരമായ സമാഹാരത്തിന് ശേഷം, a .sof file ൽ ലഭ്യമാണ്ample_dir>/ സിന്തസിസ്/ക്വാർട്ടസ്/ഔട്ട്‌പുട്ട്_fileയുടെ ഡയറക്ടറി. ഹാർഡ്‌വെയർ ഡിസൈൻ മുൻ പ്രോഗ്രാം ചെയ്യുന്നതിന് ഈ ഘട്ടങ്ങൾ പാലിക്കുകample Intel FPGA ഉപകരണത്തിൽ:
    എ. ഡെവലപ്‌മെൻ്റ് കിറ്റ് ഹോസ്റ്റ് കമ്പ്യൂട്ടറുമായി ബന്ധിപ്പിക്കുക.
    ബി. ഡെവലപ്‌മെൻ്റ് കിറ്റിൻ്റെ ഭാഗമായ ക്ലോക്ക് കൺട്രോൾ ആപ്ലിക്കേഷൻ സമാരംഭിക്കുക, കൂടാതെ ഡിസൈൻ എക്‌സിക്കായി പുതിയ ഫ്രീക്വൻസികൾ സജ്ജമാക്കുകample. ക്ലോക്ക് കൺട്രോൾ ആപ്ലിക്കേഷനിലെ ഫ്രീക്വൻസി ക്രമീകരണം ചുവടെയുണ്ട്:
    • നിങ്ങൾ Intel Stratix 10 GX SI ഡെവലപ്‌മെൻ്റ് കിറ്റിൽ നിങ്ങളുടെ ഡിസൈൻ ലക്ഷ്യമിടുന്നുണ്ടെങ്കിൽ:
    - U5, OUT8- 100 MHz
    - U6, OUT3- 322.265625 MHz
    — U6, OUT4, OUT5- 307.2 MHz
    • നിങ്ങൾ Intel Stratix 10 TX SI ഡെവലപ്‌മെൻ്റ് കിറ്റിൽ നിങ്ങളുടെ ഡിസൈൻ ലക്ഷ്യമിടുന്നുണ്ടെങ്കിൽ:
    — U1, CLK4- 322.265625 MHz (25G ഡാറ്റ നിരക്കിന്)
    — U6- 156.25 MHz (10G ഡാറ്റ നിരക്കിന്)
    - U3, OUT3- 100 MHz
    - U3, OUT8- 153.6 MHz
    • Intel Agilex 7 F-Series Transceiver-SoC ഡെവലപ്‌മെൻ്റ് കിറ്റിൽ നിങ്ങളുടെ ഡിസൈൻ ലക്ഷ്യമിടുന്നുണ്ടെങ്കിൽ:
    - U37, CLK1A- 100 MHz
    - U34, CLK0P- 156.25 MHz
    — U38, OUT2_P- 153.6 MHz
    • Intel Arria 10 GX SI ഡെവലപ്‌മെൻ്റ് കിറ്റിൽ നിങ്ങളുടെ ഡിസൈൻ ലക്ഷ്യമിടുന്നുണ്ടെങ്കിൽ:
    - U52, CLK0- 156.25 MHz
    - U52, CLK1- 250 MHz
    - U52, CLK3- 125 MHz
    - Y5- 307.2 MHz
    - Y6- 322.265625 MHz
    സി. ടൂൾസ് മെനുവിൽ, പ്രോഗ്രാമർ ക്ലിക്ക് ചെയ്യുക.
    ഡി. പ്രോഗ്രാമറിൽ, ഹാർഡ്‌വെയർ സെറ്റപ്പ് ക്ലിക്ക് ചെയ്യുക.
    ഇ. ഒരു പ്രോഗ്രാമിംഗ് ഉപകരണം തിരഞ്ഞെടുക്കുക.
    എഫ്. നിങ്ങളുടെ ഇൻ്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ സെഷൻ കണക്റ്റുചെയ്യാനാകുന്ന ഡെവലപ്‌മെൻ്റ് കിറ്റ് തിരഞ്ഞെടുത്ത് ചേർക്കുക.
    ജി. മോഡ് J ആയി സജ്ജീകരിച്ചിട്ടുണ്ടെന്ന് ഉറപ്പാക്കുകTAG.
    എച്ച്. ഉപകരണം തിരഞ്ഞെടുത്ത് ഉപകരണം ചേർക്കുക ക്ലിക്കുചെയ്യുക. നിങ്ങളുടെ ബോർഡിലെ ഉപകരണങ്ങൾ തമ്മിലുള്ള കണക്ഷനുകളുടെ ഒരു ബ്ലോക്ക് ഡയഗ്രം പ്രോഗ്രാമർ പ്രദർശിപ്പിക്കുന്നു.
    ഐ. .sof ലോഡ് ചെയ്യുക file നിങ്ങളുടെ ബന്ധപ്പെട്ട Intel FPGA ഉപകരണത്തിലേക്ക്.
    ജെ. എക്സിക്യൂട്ടബിൾ, ലിങ്കിംഗ് ഫോർമാറ്റ് (.elf) ലോഡ് ചെയ്യുക file നിങ്ങളുടെ Intel Stratix 10-ലേക്ക് അല്ലെങ്കിൽ
    7G-നും 25G-നും ഇടയിൽ ഡാറ്റാ നിരക്ക് മാറുന്നതിന് ഡൈനാമിക് റീകോൺഫിഗറേഷൻ (DR) നടത്താൻ നിങ്ങൾ ആഗ്രഹിക്കുന്നുവെങ്കിൽ Intel Agilex 10 ഉപകരണം. എക്സിക്യൂട്ടബിൾ, ലിങ്കിംഗ് ഫോർമാറ്റ് (.elf) പ്രോഗ്രാമിംഗ് ജനറേറ്റിംഗിലും ഡൗൺലോഡിലും നിന്നുള്ള നിർദ്ദേശങ്ങൾ പാലിക്കുക File .elf സൃഷ്ടിക്കാൻ പേജ് 38-ൽ file.
    കെ. നിങ്ങളുടെ .sof ഉള്ള വരിയിൽ, .sof എന്നതിനായുള്ള പ്രോഗ്രാം/കോൺഫിഗർ ബോക്സ് പരിശോധിക്കുക. file.
    എൽ. ആരംഭിക്കുക ക്ലിക്ക് ചെയ്യുക.

ബന്ധപ്പെട്ട വിവരങ്ങൾ

  • ബ്ലോക്ക് അധിഷ്ഠിത ഡിസൈൻ
  • ഇൻ്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോഗ്രാമർ ഉപയോക്തൃ ഗൈഡ്
  • സിസ്റ്റം കൺസോൾ ഉപയോഗിച്ച് ഡിസൈനുകൾ വിശകലനം ചെയ്യുകയും ഡീബഗ്ഗിംഗ് ചെയ്യുകയും ചെയ്യുന്നു
  • Intel Agilex 7 F-Series Transceiver-SoC വികസന കിറ്റ് ഉപയോക്തൃ ഗൈഡ്
  • Intel Stratix 10 GX ട്രാൻസ്‌സിവർ സിഗ്നൽ ഇൻ്റഗ്രിറ്റി ഡെവലപ്‌മെൻ്റ് കിറ്റ് ഉപയോക്തൃ ഗൈഡ്
  • Intel Stratix 10 TX ട്രാൻസ്‌സിവർ സിഗ്നൽ ഇൻ്റഗ്രിറ്റി ഡെവലപ്‌മെൻ്റ് കിറ്റ് ഉപയോക്തൃ ഗൈഡ്
  • Intel Arria 10 GX Transceiver Signal Integrity Development Kit User Guide

1.7 eCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ പരീക്ഷിക്കുന്നു Example
നിങ്ങൾ eCPRI ഇൻ്റൽ FPGA IP കോർ ഡിസൈൻ കംപൈൽ ചെയ്ത ശേഷംampനിങ്ങളുടെ Intel FPGA ഉപകരണത്തിൽ കോൺഫിഗർ ചെയ്യുക, IP കോറും അതിൻ്റെ ഉൾച്ചേർത്ത നേറ്റീവ് PHY IP കോർ രജിസ്റ്ററുകളും പ്രോഗ്രാം ചെയ്യുന്നതിന് നിങ്ങൾക്ക് സിസ്റ്റം കൺസോൾ ഉപയോഗിക്കാം.
സിസ്റ്റം കൺസോൾ ഓണാക്കുന്നതിനും ഹാർഡ്‌വെയർ ഡിസൈൻ പരീക്ഷിക്കുന്നതിനും മുൻampലെ, ഈ ഘട്ടങ്ങൾ പാലിക്കുക:

  1. ഹാർഡ്‌വെയർ ഡിസൈനിനു ശേഷം മുൻample എന്നത് Intel ഉപകരണത്തിൽ ക്രമീകരിച്ചിരിക്കുന്നു, Intel Quartus Prime Pro എഡിഷൻ സോഫ്‌റ്റ്‌വെയറിൽ, ടൂൾസ് മെനുവിൽ, സിസ്റ്റം ഡീബഗ്ഗിംഗ് ടൂളുകൾ ➤ സിസ്റ്റം കൺസോൾ ക്ലിക്ക് ചെയ്യുക.
  2. Tcl കൺസോൾ പാളിയിൽ, ഡയറക്ടറി ഇതിലേക്ക് മാറ്റുകample_dir>/ synthesis/quartus/hardware_test കൂടാതെ J-യിലേക്കുള്ള ഒരു കണക്ഷൻ തുറക്കുന്നതിന് ഇനിപ്പറയുന്ന കമാൻഡ് ടൈപ്പ് ചെയ്യുകTAG മാസ്റ്റർ, ടെസ്റ്റ് ആരംഭിക്കുക:
    • Intel Agilex 7 ഡിസൈനുകൾക്കുള്ള ഉറവിടം ecpri_agilex.tcl
    • Intel Stratix 10 ഡിസൈനുകൾക്കുള്ള ഉറവിടം ecpri_s10.tcl
    • Intel Arria 10 ഡിസൈനുകൾക്കുള്ള ഉറവിടം ecpri_a10.tcl
  3. നിങ്ങളുടെ Intel Stratix 10 അല്ലെങ്കിൽ Intel Agilex 7 ഇ-ടൈൽ ഉപകരണ വ്യതിയാനങ്ങൾക്കായി, നിങ്ങൾ .sof പ്രോഗ്രാം ചെയ്തതിന് ശേഷം ഒരു തവണ ആന്തരികമോ ബാഹ്യമോ ആയ ലൂപ്പ്ബാക്ക് കമാൻഡ് നടത്തണം. file:
    എ. ഫ്ലോ.സിയിലെ TEST_MODE വേരിയബിൾ പരിഷ്‌ക്കരിക്കുക file ലൂപ്പ്ബാക്ക് മോഡ് തിരഞ്ഞെടുക്കാൻ:
    TEST_MODE ആക്ഷൻ
    0 സീരിയൽ ലൂപ്പ്ബാക്ക് സിമുലേഷനായി മാത്രം പ്രാപ്തമാക്കുന്നു
    1 സീരിയൽ ലൂപ്പ്ബാക്ക് ഹാർഡ്‌വെയറിനായി മാത്രം പ്രവർത്തനക്ഷമമാക്കുന്നു
    2 സീരിയൽ ലൂപ്പ്ബാക്കും കാലിബ്രേഷനും
    3 കാലിബ്രേഷൻ മാത്രം

    നിങ്ങൾ ഫ്ലോ.സി മാറ്റുമ്പോഴെല്ലാം NIOS II സോഫ്‌റ്റ്‌വെയർ വീണ്ടും കംപൈൽ ചെയ്യുകയും പുനഃസൃഷ്ടിക്കുകയും വേണം file.
    ബി. .elf-നെ പുനരുജ്ജീവിപ്പിക്കുക file ബോർഡിലേക്ക് ഒരിക്കൽ കൂടി പ്രോഗ്രാം ചെയ്യുകയും .sof വീണ്ടും പ്രോഗ്രാം ചെയ്യുകയും ചെയ്യുക file.

  4. സിസ്റ്റം കൺസോൾ സ്ക്രിപ്റ്റിൽ പിന്തുണയ്ക്കുന്ന കമാൻഡുകൾ വഴി ഡിസൈൻ ഓപ്പറേഷൻ പരിശോധിക്കുക. സ്ഥിതിവിവരക്കണക്കുകളും ഡിസൈനിൽ പ്രവർത്തനക്ഷമമാക്കുന്ന സവിശേഷതകളും വായിക്കുന്നതിന് സിസ്റ്റം കൺസോൾ സ്ക്രിപ്റ്റ് ഉപയോഗപ്രദമായ കമാൻഡുകൾ നൽകുന്നു.

പട്ടിക 4. സിസ്റ്റം കൺസോൾ സ്ക്രിപ്റ്റ് കമാൻഡുകൾ

കമാൻഡ് വിവരണം
ലൂപ്പ്_ഓൺ TX മുതൽ RX വരെയുള്ള ആന്തരിക സീരിയൽ ലൂപ്പ്ബാക്ക് പ്രവർത്തനക്ഷമമാക്കുന്നു. Intel Stratix 10 H-tile, Intel Arria 10 ഉപകരണങ്ങൾക്ക് മാത്രം ഉപയോഗിക്കുക.
ലൂപ്പ്_ഓഫ് TX മുതൽ RX വരെയുള്ള ആന്തരിക സീരിയൽ ലൂപ്പ്ബാക്ക് പ്രവർത്തനരഹിതമാക്കുന്നു. Intel Stratix 10 H-tile, Intel Arria 10 ഉപകരണങ്ങൾക്ക് മാത്രം ഉപയോഗിക്കുക.
ലിങ്ക് _ init _ int _1pbk ട്രാൻസ്‌സിവറിനുള്ളിൽ TX മുതൽ RX വരെയുള്ള ആന്തരിക സീരിയൽ ലൂപ്പ്ബാക്ക് പ്രവർത്തനക്ഷമമാക്കുകയും ട്രാൻസ്‌സിവർ കാലിബ്രേഷൻ ഫ്ലോ നിർവഹിക്കുകയും ചെയ്യുന്നു. Intel Stratix 10 E-tile, Intel Agilex 7 E-tile ഡിസൈനുകൾക്ക് മാത്രം ബാധകം.
ലിങ്ക് _ init _ ext _1pbk TX മുതൽ RX വരെയുള്ള ബാഹ്യ ലൂപ്പ്ബാക്ക് പ്രവർത്തനക്ഷമമാക്കുകയും ട്രാൻസ്‌സിവർ കാലിബ്രേഷൻ ഫ്ലോ നിർവഹിക്കുകയും ചെയ്യുന്നു. Intel Stratix 10 E-tile, Intel Agilex 7 E-tile ഡിസൈനുകൾക്ക് മാത്രം ബാധകം.
ട്രാഫിക് ജെൻ പ്രവർത്തനരഹിതമാക്കുക ട്രാഫിക് ജനറേറ്ററും ചെക്കറും പ്രവർത്തനരഹിതമാക്കുന്നു.
chkmac സ്ഥിതിവിവരക്കണക്കുകൾ ഇഥർനെറ്റ് MAC-നുള്ള സ്ഥിതിവിവരക്കണക്കുകൾ പ്രദർശിപ്പിക്കുന്നു.
വായിക്കുക_ ടെസ്റ്റ്_ സ്ഥിതിവിവരക്കണക്കുകൾ ട്രാഫിക് ജനറേറ്ററിനും ചെക്കറുകൾക്കുമുള്ള പിശക് സ്ഥിതിവിവരക്കണക്കുകൾ പ്രദർശിപ്പിക്കുക.
ext _ തുടർച്ചയായ _ മോഡ് _en മുഴുവൻ ഡിസൈൻ സിസ്റ്റവും പുനഃസജ്ജമാക്കുന്നു, തുടർച്ചയായ ട്രാഫിക് പാക്കറ്റുകൾ സൃഷ്ടിക്കാൻ ട്രാഫിക് ജനറേറ്ററിനെ പ്രാപ്തമാക്കുന്നു.
dr _ 25g _ to _ log _etile ഇഥർനെറ്റ് MAC-ൻ്റെ ഡാറ്റ നിരക്ക് 25G-ൽ നിന്ന് 10G-ലേക്ക് മാറ്റുന്നു. Intel Stratix 10 E-tile, Intel Agilex 7 E-tile ഉപകരണങ്ങൾക്ക് മാത്രം ഉപയോഗിക്കുക.
dr_25g_to_10g_htile ഇഥർനെറ്റ് MAC-ൻ്റെ ഡാറ്റ നിരക്ക് 25G-ൽ നിന്ന് 10G-ലേക്ക് മാറ്റുന്നു. എച്ച്-ടൈൽ ഉപകരണങ്ങൾക്കായി മാത്രം ഉപയോഗിക്കുക
dr_10g_to_25g_etile ഇഥർനെറ്റ് MAC-ൻ്റെ ഡാറ്റ നിരക്ക് 10G-ൽ നിന്ന് 25G-ലേക്ക് മാറ്റുന്നു. Intel Stratix 10 E-tile, Intel Agilex 7 E-tile ഉപകരണങ്ങൾക്ക് മാത്രം ഉപയോഗിക്കുക.
dr _ 25g _ to _ log _htile ഇഥർനെറ്റ് MAC-ൻ്റെ ഡാറ്റ നിരക്ക് 10G-യിൽ നിന്ന് 25G-ലേക്ക് മാറ്റുന്നു. എച്ച്-ടൈൽ ഉപകരണങ്ങൾക്കായി മാത്രം ഉപയോഗിക്കുക.

ഇനിപ്പറയുന്ന എസ്ample ഔട്ട്പുട്ട് ഒരു വിജയകരമായ പരീക്ഷണ ഓട്ടം വ്യക്തമാക്കുന്നു:
സിസ്റ്റം കൺസോൾ പ്രിൻ്റൗട്ട് (ചാനലുകളുടെ എണ്ണം = 1)
ചാനൽ 0 EXT PTP TX SOP എണ്ണം: 256
ചാനൽ 0 EXT PTP TX EOP എണ്ണം: 256
ചാനൽ 0 EXT MISC TX SOP എണ്ണം: 36328972
ചാനൽ 0 EXT MISC TX EOP എണ്ണം: 36369511
ചാനൽ 0 EXT RX SOP എണ്ണം: 36410364
ചാനൽ 0 EXT RX EOP എണ്ണം: 36449971
ചാനൽ 0 EXT ചെക്കർ പിശകുകൾ: 0
ചാനൽ 0 EXT ചെക്കർ പിശകുകളുടെ എണ്ണം: 0
ചാനൽ 0 EXT PTP ഫിംഗർപ്രിൻ്റ് പിശകുകൾ: 0
ചാനൽ 0 EXT PTP ഫിംഗർപ്രിൻ്റ് പിശകുകളുടെ എണ്ണം: 0
ചാനൽ 0 TX SOP എണ്ണം: 1337760
ചാനൽ 0 TX EOP എണ്ണം: 1339229
ചാനൽ 0 RX SOP എണ്ണം: 1340728
ചാനൽ 0 RX EOP എണ്ണം: 1342555
ചാനൽ 0 ചെക്കർ പിശകുകൾ: 0
ചാനൽ 0 ചെക്കർ പിശകുകളുടെ എണ്ണം: 0

====================================================================================
=============
ചാനൽ 0 (Rx) നായുള്ള ഇഥർനെറ്റ് മാക് സ്ഥിതിവിവരക്കണക്കുകൾ

====================================================================================
=============
വിഘടിച്ച ഫ്രെയിമുകൾ : 0
ജാബർഡ് ഫ്രെയിമുകൾ : 0
FCS Err ഫ്രെയിമുകളുള്ള ശരിയായ വലുപ്പം : 0
മൾട്ടികാസ്റ്റ് ഡാറ്റ പിശക് ഫ്രെയിമുകൾ : 0
ബ്രോഡ്കാസ്റ്റ് ഡാറ്റ പിശക് ഫ്രെയിമുകൾ : 0
യുണികാസ്റ്റ് ഡാറ്റ പിശക് ഫ്രെയിമുകൾ : 0
64 ബൈറ്റ് ഫ്രെയിമുകൾ : 3641342
65 - 127 ബൈറ്റ് ഫ്രെയിമുകൾ : 0
128 - 255 ബൈറ്റ് ഫ്രെയിമുകൾ : 37404809
256 - 511 ബൈറ്റ് ഫ്രെയിമുകൾ : 29128650
512 - 1023 ബൈറ്റ് ഫ്രെയിമുകൾ : 0
1024 - 1518 ബൈറ്റ് ഫ്രെയിമുകൾ : 0
1519 – പരമാവധി ബൈറ്റ് ഫ്രെയിമുകൾ : 0
> പരമാവധി ബൈറ്റ് ഫ്രെയിമുകൾ : 0
മൾട്ടികാസ്റ്റ് ഡാറ്റ ശരി ഫ്രെയിം : 70174801
ബ്രോഡ്കാസ്റ്റ് ഡാറ്റ ശരി ഫ്രെയിം : 0
യൂണികാസ്റ്റ് ഡാറ്റ ശരി ഫ്രെയിമുകൾ : 0
മൾട്ടികാസ്റ്റ് കൺട്രോൾ ഫ്രെയിമുകൾ : 0
ബ്രോഡ്കാസ്റ്റ് കൺട്രോൾ ഫ്രെയിമുകൾ : 0
യൂണികാസ്റ്റ് കൺട്രോൾ ഫ്രെയിമുകൾ : 0
നിയന്ത്രണ ഫ്രെയിമുകൾ താൽക്കാലികമായി നിർത്തുക : 0
പേലോഡ് ഒക്ടറ്റുകൾ ശരി : 11505935812
ഫ്രെയിം ഒക്ടറ്റുകൾ ശരി : 12918701444
Rx പരമാവധി ഫ്രെയിം നീളം : 1518
FCS Err ഫ്രെയിം ഉള്ള ഏത് വലുപ്പവും : 0
മൾട്ടികാസ്റ്റ് നിയന്ത്രണ പിശക് ഫ്രെയിം : 0
ബ്രോഡ്കാസ്റ്റ് നിയന്ത്രണം പിശക് ഫ്രെയിം : 0
യൂണികാസ്റ്റ് കൺട്രോൾ പിശക് ഫ്രെയിമുകൾ : 0
താൽക്കാലികമായി നിർത്തുക നിയന്ത്രണം പിശക് ഫ്രെയിമുകൾ : 0
Rx ഫ്രെയിം ആരംഭിക്കുന്നു : 70174801

ഇനിപ്പറയുന്നത് എസ്amp25G മുതൽ 10G വരെയുള്ള DR ടെസ്റ്റ് റണ്ണിനുള്ള ഔട്ട്പുട്ട്:
സിസ്റ്റം കൺസോൾ പ്രിൻ്റൗട്ട് (25G മുതൽ 10G വരെ DR ഇ-ടൈൽ)

ഇഥർനെറ്റ് 25G -> 10G-നായി ഡൈനാമിക് റീകോൺഫിഗറേഷൻ ആരംഭിക്കുക
DR വിജയകരമായ 25G -> 10G
RX PHY രജിസ്റ്റർ ആക്സസ്: ക്ലോക്ക് ഫ്രീക്വൻസികൾ പരിശോധിക്കുന്നു (KHz)
TXCLK :16114 (KHZ)
RXCLK :16113 (KHZ)
RX PHY സ്റ്റാറ്റസ് പോളിംഗ്
Rx ഫ്രീക്വൻസി ലോക്ക് സ്റ്റാറ്റസ് 0x0000000f
Mac ക്ലോക്ക് ശരിയായ അവസ്ഥയിലാണോ? 0x00000001
Rx ഫ്രെയിം പിശക്? 0x00000000
Rx PHY പൂർണ്ണമായും വിന്യസിച്ചിട്ടുണ്ടോ? 0x00000001
പോളിംഗ് RX PHY ചാനൽ 0
RX PHY ചാനൽ 0 പ്രവർത്തിക്കുന്നു!

സിസ്റ്റം കൺസോൾ പ്രിൻ്റൗട്ട് (25G മുതൽ 10G വരെ DR H-ടൈൽ)
ഇഥർനെറ്റ് 25G -> 10G-നായി ഡൈനാമിക് റീകോൺഫിഗറേഷൻ ആരംഭിക്കുക
DR വിജയകരമായ 25G -> 10G
RX PHY രജിസ്റ്റർ ആക്സസ്: ക്ലോക്ക് ഫ്രീക്വൻസികൾ പരിശോധിക്കുന്നു (KHz)
TXCLK :15625 (KHZ)
RXCLK :15625 (KHZ)
RX PHY സ്റ്റാറ്റസ് പോളിംഗ്
Rx ഫ്രീക്വൻസി ലോക്ക് സ്റ്റാറ്റസ് 0x00000001
Mac ക്ലോക്ക് ശരിയായ അവസ്ഥയിലാണോ? 0x00000007
Rx ഫ്രെയിം പിശക്? 0x00000000
Rx PHY പൂർണ്ണമായും വിന്യസിച്ചിട്ടുണ്ടോ? 0x00000001
പോളിംഗ് RX PHY ചാനൽ 0
RX PHY ചാനൽ 0 പ്രവർത്തിക്കുന്നു!

സിസ്റ്റം കൺസോൾ പ്രിൻ്റൗട്ട് (10G മുതൽ 25G വരെ DR ഇ-ടൈൽ)
ഇഥർനെറ്റ് 10G -> 25G-നായി ഡൈനാമിക് റീകോൺഫിഗറേഷൻ ആരംഭിക്കുക
DR വിജയകരമായ 10G -> 25G
RX PHY രജിസ്റ്റർ ആക്സസ്: ക്ലോക്ക് ഫ്രീക്വൻസികൾ പരിശോധിക്കുന്നു (KHz)
TXCLK :40283 (KHZ)
RXCLK :40283 (KHZ)
RX PHY സ്റ്റാറ്റസ് പോളിംഗ്
Rx ഫ്രീക്വൻസി ലോക്ക് സ്റ്റാറ്റസ് 0x0000000f
Mac ക്ലോക്ക് ശരിയായ അവസ്ഥയിലാണോ? 0x00000001
Rx ഫ്രെയിം പിശക്? 0x00000000
Rx PHY പൂർണ്ണമായും വിന്യസിച്ചിട്ടുണ്ടോ? 0x00000001
പോളിംഗ് RX PHY ചാനൽ 0
RX PHY ചാനൽ 0 പ്രവർത്തിക്കുന്നു!

സിസ്റ്റം കൺസോൾ പ്രിൻ്റൗട്ട് (10G മുതൽ 25G വരെ DR H-ടൈൽ)
ഇഥർനെറ്റ് 10G -> 25G-നായി ഡൈനാമിക് റീകോൺഫിഗറേഷൻ ആരംഭിക്കുക
DR വിജയകരമായ 10G -> 25G
RX PHY രജിസ്റ്റർ ആക്സസ്: ക്ലോക്ക് ഫ്രീക്വൻസികൾ പരിശോധിക്കുന്നു (KHz)
TXCLK :39061 (KHZ)
RXCLK :39063 (KHZ)
RX PHY സ്റ്റാറ്റസ് പോളിംഗ്
Rx ഫ്രീക്വൻസി ലോക്ക് സ്റ്റാറ്റസ് 0x00000001
Mac ക്ലോക്ക് ശരിയായ അവസ്ഥയിലാണോ? 0x00000007
Rx ഫ്രെയിം പിശക്? 0x00000000
Rx PHY പൂർണ്ണമായും വിന്യസിച്ചിട്ടുണ്ടോ? 0x00000001
പോളിംഗ് RX PHY ചാനൽ 0
RX PHY ചാനൽ 0 പ്രവർത്തിക്കുന്നു!

ഡിസൈൻ എക്സിample വിവരണം

ഡിസൈൻ മുൻample eCPRI IP കോറിൻ്റെ അടിസ്ഥാന പ്രവർത്തനക്ഷമത കാണിക്കുന്നു. എക്സിയിൽ നിന്ന് നിങ്ങൾക്ക് ഡിസൈൻ സൃഷ്ടിക്കാൻ കഴിയുംample ഡിസൈൻ ടാബ് eCPRI IP പാരാമീറ്റർ എഡിറ്ററിൽ.

2.1. സവിശേഷതകൾ

  • ആന്തരിക TX, RX സീരിയൽ ലൂപ്പ്ബാക്ക് മോഡ്
  • നിശ്ചിത വലിപ്പത്തിലുള്ള പാക്കറ്റുകൾ സ്വയമേവ സൃഷ്ടിക്കുന്നു
  • അടിസ്ഥാന പാക്കറ്റ് പരിശോധന കഴിവുകൾ
  • ഡിസൈൻ ടെസ്റ്റ് ചെയ്യുന്നതിനും റീ-ടെസ്റ്റിംഗ് ആവശ്യത്തിനായി ഡിസൈൻ റീസെറ്റ് ചെയ്യുന്നതിനും സിസ്റ്റം കൺസോൾ ഉപയോഗിക്കാനുള്ള കഴിവ്

2.2 ഹാർഡ്‌വെയർ ഡിസൈൻ എക്സിample
ചിത്രം 5. ഇൻ്റൽ അജിലെക്സ് 7 എഫ്-ടൈൽ ഡിസൈനുകൾക്കുള്ള ബ്ലോക്ക് ഡയഗ്രംeCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ - ചിത്രം 5

ഇന്റൽ കോർപ്പറേഷൻ. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം. ഇന്റൽ, ഇന്റൽ ലോഗോ, മറ്റ് ഇന്റൽ മാർക്കുകൾ എന്നിവ ഇന്റൽ കോർപ്പറേഷന്റെയോ അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളുടെയോ വ്യാപാരമുദ്രകളാണ്. ഇന്റലിന്റെ സ്റ്റാൻഡേർഡ് വാറന്റിക്ക് അനുസൃതമായി അതിന്റെ FPGA, അർദ്ധചാലക ഉൽപ്പന്നങ്ങളുടെ പ്രകടനം നിലവിലെ സ്പെസിഫിക്കേഷനുകളിലേക്ക് Intel വാറന്റ് ചെയ്യുന്നു, എന്നാൽ അറിയിപ്പ് കൂടാതെ ഏത് സമയത്തും ഏത് ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം നിക്ഷിപ്തമാണ്. Intel രേഖാമൂലം രേഖാമൂലം സമ്മതിച്ചതല്ലാതെ ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങളുടെയോ ഉൽപ്പന്നത്തിന്റെയോ സേവനത്തിന്റെയോ ആപ്ലിക്കേഷനിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന ഉത്തരവാദിത്തമോ ബാധ്യതയോ Intel ഏറ്റെടുക്കുന്നില്ല. ഏതെങ്കിലും പ്രസിദ്ധീകരിച്ച വിവരങ്ങളെ ആശ്രയിക്കുന്നതിന് മുമ്പും ഉൽപ്പന്നങ്ങൾക്കോ ​​സേവനങ്ങൾക്കോ ​​​​ഓർഡറുകൾ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സവിശേഷതകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ഇന്റൽ ഉപഭോക്താക്കളോട് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.

ചിത്രം 6. Intel Agilex 7 ഇ-ടൈൽ ഡിസൈനുകൾക്കുള്ള ബ്ലോക്ക് ഡയഗ്രംeCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ - ചിത്രം 6ചിത്രം 7. ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 ഡിസൈനുകൾക്കുള്ള ബ്ലോക്ക് ഡയഗ്രംeCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ - ചിത്രം 7

ചിത്രം 8. Intel Arria 10 ഡിസൈനുകൾക്കുള്ള ബ്ലോക്ക് ഡയഗ്രംeCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ - ചിത്രം 8eCPRI ഇൻ്റൽ FPGA IP കോർ ഹാർഡ്‌വെയർ ഡിസൈൻ മുൻample ഇനിപ്പറയുന്ന ഘടകങ്ങൾ ഉൾക്കൊള്ളുന്നു:
eCPRI ഇന്റൽ FPGA IP
ടെസ്റ്റ് റാപ്പറിനുള്ളിൽ തൽക്ഷണം സ്ഥാപിച്ചിട്ടുള്ള ട്രാഫിക് ജനറേറ്ററുകളിൽ നിന്നുള്ള ഡാറ്റ സ്വീകരിക്കുകയും ഇഥർനെറ്റ് ഐപിയിലേക്ക് കൈമാറുന്നതിനുള്ള ഡാറ്റയ്ക്ക് മുൻഗണന നൽകുകയും ചെയ്യുന്നു.

ഇഥർനെറ്റ് ഐ.പി

  • എഫ്-ടൈൽ ഇഥർനെറ്റ് ഇൻ്റൽ എഫ്പിജിഎ ഹാർഡ് ഐപി (ഇൻ്റൽ അജിലെക്സ് 7 എഫ്-ടൈൽ ഡിസൈനുകൾ)
  • ഇ-ടൈൽ ഹാർഡ് ഐ.പി.
  • 25G ഇഥർനെറ്റ് ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 ഐപി (ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 എച്ച്-ടൈൽ ഡിസൈനുകൾ)
  • ലോ ലാറ്റൻസി ഇഥർനെറ്റ് 10G MAC IP, 1G/10GbE, 10GBASE-KR PHY IP (Intel Arria 10 ഡിസൈനുകൾ)

പ്രിസിഷൻ ടൈം പ്രോട്ടോക്കോൾ (PTP) IO PLL
ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 എച്ച്-ടൈൽ ഡിസൈനുകൾക്കായി-ഇഥർനെറ്റ് ഐപിക്കും എസ്സിനും വേണ്ടിയുള്ള ലേറ്റൻസി മെഷർമെൻ്റ് ഇൻപുട്ട് റഫറൻസ് ക്ലോക്ക് ജനറേറ്റുചെയ്യാൻ തൽക്ഷണംampടൈം ഓഫ് ഡേ (TOD) സബ്സിസ്റ്റത്തിനുള്ള ലിംഗ് ക്ലോക്ക്. IEEE 25v10 സവിശേഷതയുള്ള 1588G Ethernet Intel Stratix 2 FPGA IP-ന്, ഈ ക്ലോക്കിൻ്റെ ആവൃത്തി 156.25 MHz ആയി സജ്ജീകരിക്കാൻ ഇൻ്റൽ നിങ്ങളോട് ശുപാർശ ചെയ്യുന്നു. കൂടുതൽ വിവരങ്ങൾക്ക് 25G Ethernet Intel Stratix 10 FPGA IP ഉപയോക്തൃ ഗൈഡും Intel Stratix 10 H-tile Transceiver PHY ഉപയോക്തൃ ഗൈഡും കാണുക. PTP IOPLL കാസ്കേഡിംഗ് രീതിയിൽ eCPRI IO PLL-നുള്ള റഫറൻസ് ക്ലോക്കും സൃഷ്ടിക്കുന്നു.
Intel Arria 10 ഡിസൈനുകൾക്കായി—ലോ ലേറ്റൻസി ഇഥർനെറ്റ് 312.5G MAC IP, 156.25G/10GbE, 1GBASE-KR PHY IP, eCPRI IP എന്നിവയ്‌ക്കായി 10 MHz, 10 MHz ക്ലോക്ക് ഇൻപുട്ടുകൾ ജനറേറ്റുചെയ്യാൻ തൽക്ഷണം.

eCPRI IO PLL
eCPRI IP-യുടെ TX, RX പാതയ്‌ക്കും ട്രാഫിക് ഘടകങ്ങൾക്കുമായി 390.625 MHz-ൻ്റെ കോർ ക്ലോക്ക് ഔട്ട്‌പുട്ട് സൃഷ്‌ടിക്കുന്നു.
കുറിപ്പ്: ഈ ബ്ലോക്ക് ഡിസൈൻ എക്സിയിൽ മാത്രമേ ഉള്ളൂample Intel Stratix 10, Intel Agilex 7 ഉപകരണങ്ങൾക്കായി സൃഷ്ടിച്ചു.

കുറിപ്പ്: eCPRI Intel FPGA IP-യുടെ നിലവിലെ പതിപ്പ് IWF തരം 0-നെ മാത്രമേ പിന്തുണയ്ക്കൂ. Intel Agilex 7 F-tile ഉപകരണങ്ങൾക്ക്, ഡിസൈൻ മുൻampIWF സവിശേഷത ഉപയോഗിച്ച് le പ്രവർത്തനക്ഷമമാക്കിയത് പിന്തുണയ്ക്കുന്നില്ല.
നിങ്ങൾ ഡിസൈൻ സൃഷ്ടിക്കുമ്പോൾ മുൻampഇൻ്റർവർക്കിംഗ് ഫംഗ്‌ഷൻ (IWF) സപ്പോർട്ട് പാരാമീറ്റർ ഓഫാക്കി, പാക്കറ്റ് ട്രാഫിക് ടെസ്റ്റ് റാപ്പർ മൊഡ്യൂളിൽ നിന്ന് അവലോൺ-എസ്‌ടി ഉറവിടം/സിങ്ക് ഇൻ്റർഫേസ്, എക്‌സ്‌റ്റേണൽ സോഴ്‌സ്/സിങ്ക് ഇൻ്റർഫേസ് എന്നിവയിലേക്ക് ഇസിപിആർഐ ഐപിയിലേക്ക് ഒഴുകുന്നു.
നിങ്ങൾ ഡിസൈൻ സൃഷ്ടിക്കുമ്പോൾ മുൻampലെ ഇൻ്റർവർക്കിംഗ് ഫംഗ്‌ഷൻ (IWF) സപ്പോർട്ട് പാരാമീറ്റർ ഓണാക്കി, ടെസ്റ്റ് റാപ്പർ മൊഡ്യൂളിൽ നിന്ന് ആദ്യം IWF Avalon-ST സിങ്ക് ഇൻ്റർഫേസിലേക്ക് പാക്കറ്റ് ട്രാഫിക് ഒഴുകുന്നു, കൂടാതെ IWF Avalon-ST സോഴ്‌സ് ഇൻ്റർഫേസിൽ നിന്ന് eCPRI Avalon-ST സോഴ്‌സ്/സിങ്കിലേക്ക് വരുന്നു. ഇൻ്റർഫേസ്.
CPRI MAC
ഉപയോക്തൃ വിമാനം, C&M, REC, RE എന്നിവയ്‌ക്കിടയിലും രണ്ട് RE-കൾക്കിടയിലും സമന്വയ വിവരങ്ങൾ കൈമാറുന്നതിനുള്ള ലെയർ 1-ൻ്റെ CPRI ഭാഗവും മുഴുവൻ ലെയർ 2 പ്രോട്ടോക്കോളുകളും നൽകുന്നു.
CPRI PHY
ലൈൻ കോഡിംഗ്, ബിറ്റ് പിശക് തിരുത്തൽ/കണ്ടെത്തൽ തുടങ്ങിയവയ്‌ക്കായി CPRI ലെയർ 1 പ്രോട്ടോക്കോളിൻ്റെ ശേഷിക്കുന്ന ഭാഗം നൽകുന്നു.

കുറിപ്പ്: CPRI MAC, CPRI PHY IP എന്നിവ ഈ രൂപകല്പനയിൽ ഉടലെടുത്തുampഒറ്റ സിപിആർഐ ലൈൻ നിരക്കായ 9.8 ജിബിപിഎസിൽ മാത്രം പ്രവർത്തിക്കാൻ le ക്രമീകരിച്ചിരിക്കുന്നു. ഡിസൈൻ മുൻampനിലവിലെ റിലീസിൽ ലൈൻ റേറ്റ് ഓട്ടോ-നെഗോഷ്യേഷനെ le പിന്തുണയ്ക്കുന്നില്ല.

ടെസ്റ്റ് റാപ്പർ
eCPRI IP-യുടെ Avalon സ്ട്രീമിംഗ് (Avalon-ST) ഇൻ്റർഫേസുകളിലേക്ക് ചുവടെയുള്ള വ്യത്യസ്ത ഡാറ്റാ പാക്കറ്റുകൾ സൃഷ്ടിക്കുന്ന ട്രാഫിക് ജനറേറ്ററുകളും ചെക്കറുകളും അടങ്ങിയിരിക്കുന്നു:

  • Avalon-ST ഉറവിടം/സിങ്ക് ഇൻ്റർഫേസുകളിലേക്കുള്ള eCPRI പാക്കറ്റുകൾ (IWF ഫീച്ചർ പ്രവർത്തനരഹിതമാക്കി):
    — സന്ദേശ തരം 2 മാത്രം പിന്തുണയ്ക്കുന്നു.
    — ഇൻക്രിമെൻ്റൽ പാറ്റേൺ മോഡ് ജനറേഷനോടുകൂടിയ ബാക്ക്-ടു-ബാക്ക് മോഡ് ജനറേഷനും ഓരോ പാക്കറ്റിനും 72 ബൈറ്റുകളുടെ പേലോഡ് വലുപ്പവും.
    — തുടർച്ചയായ അല്ലെങ്കിൽ തുടർച്ചയായ മോഡിൽ പ്രവർത്തിപ്പിക്കുന്നതിന് CSR വഴി ക്രമീകരിക്കാവുന്നതാണ്.
    - CSR വഴി ആക്സസ് ചെയ്യാൻ TX/RX പാക്കറ്റ് സ്റ്റാറ്റിസ്റ്റിക് സ്റ്റാറ്റസ് ലഭ്യമാണ്.
  • Avalon-ST ഉറവിടം/സിങ്ക് ഇൻ്റർഫേസുകളിലേക്കുള്ള eCPRI പാക്കറ്റുകൾ (IWF ഫീച്ചർ പ്രവർത്തനക്ഷമമാക്കി):
    — നിലവിലെ റിലീസിൽ സന്ദേശ തരം 0 മാത്രം പിന്തുണയ്ക്കുന്നു.
    — ഇൻക്രിമെൻ്റൽ പാറ്റേൺ മോഡ് ജനറേഷൻ ഇൻ്റർപാക്കറ്റ് ഗ്യാപ്പ് ജനറേഷനും ഓരോ പാക്കറ്റിനും 240 ബൈറ്റുകളുടെ പേലോഡ് വലുപ്പവും.
    — തുടർച്ചയായ അല്ലെങ്കിൽ തുടർച്ചയായ മോഡിൽ പ്രവർത്തിപ്പിക്കുന്നതിന് CSR വഴി ക്രമീകരിക്കാവുന്നതാണ്.
    - CSR വഴി ആക്സസ് ചെയ്യാൻ TX/RX പാക്കറ്റ് സ്റ്റാറ്റിസ്റ്റിക് സ്റ്റാറ്റസ് ലഭ്യമാണ്.
  • പ്രിസിഷൻ ടൈം പ്രോട്ടോക്കോൾ (1588 പിടിപി) പാക്കറ്റും പിടിപി ഇതര മറ്റ് പാക്കറ്റുകളും ബാഹ്യ ഉറവിടം/സിങ്ക് ഇൻ്റർഫേസുകളിലേക്ക്:
    — മുൻകൂട്ടി നിർവചിച്ച പാരാമീറ്ററുകളുള്ള സ്റ്റാറ്റിക് ഇഥർനെറ്റ് ഹെഡർ ജനറേഷൻ: Ethertype0x88F7, സന്ദേശ തരം- Opcode 0 (സമന്വയം), PTP പതിപ്പ്-0.
    — 2 സൈക്കിളുകളുടെ ഇൻ്റർപാക്കറ്റ് വിടവും ഓരോ പാക്കറ്റിനും 57 ബൈറ്റുകളുടെ പേലോഡ് വലുപ്പവും ഉള്ള മുൻകൂട്ടി നിർവചിച്ച പാറ്റേൺ മോഡ് ജനറേഷൻ.
    - ഓരോ സെക്കൻഡിലും 128 പാക്കറ്റുകൾ ജനറേറ്റുചെയ്യുന്നു.
    — തുടർച്ചയായ അല്ലെങ്കിൽ തുടർച്ചയായ മോഡിൽ പ്രവർത്തിപ്പിക്കുന്നതിന് CSR വഴി ക്രമീകരിക്കാവുന്നതാണ്.
    - CSR വഴി ആക്സസ് ചെയ്യാൻ TX/RX പാക്കറ്റ് സ്റ്റാറ്റിസ്റ്റിക് സ്റ്റാറ്റസ് ലഭ്യമാണ്.
  • ബാഹ്യ നോൺ-പിടിപി വിവിധ പാക്കറ്റുകൾ:
    — മുൻകൂട്ടി നിശ്ചയിച്ച പാരാമീറ്റർ ഉള്ള സ്റ്റാറ്റിക് ഇഥർനെറ്റ് ഹെഡർ ജനറേഷൻ, Ethertype- 0x8100 (Non-PTP).
    - 2 സൈക്കിളുകളുടെ ഇൻ്റർപാക്കറ്റ് വിടവും ഓരോ പാക്കറ്റിനും 128 ബൈറ്റുകളുടെ പേലോഡ് വലുപ്പവും ഉള്ള PRBS പാറ്റേൺ മോഡ് ജനറേഷൻ.
    — തുടർച്ചയായ അല്ലെങ്കിൽ തുടർച്ചയായ മോഡിൽ പ്രവർത്തിപ്പിക്കുന്നതിന് CSR വഴി ക്രമീകരിക്കാവുന്നതാണ്.
    - CSR വഴി ആക്സസ് ചെയ്യാൻ TX/RX പാക്കറ്റ് സ്റ്റാറ്റിസ്റ്റിക് സ്റ്റാറ്റസ് ലഭ്യമാണ്.

ടൈം ഓഫ് ഡേ (TOD) സബ്സിസ്റ്റം
TX, RX എന്നിവയ്‌ക്കായി രണ്ട് IEEE 1588 TOD മൊഡ്യൂളുകളും Intel Quartus Prime സോഫ്‌റ്റ്‌വെയർ സൃഷ്‌ടിച്ച ഒരു IEEE 1588 TOD സിൻക്രൊണൈസർ മൊഡ്യൂളും അടങ്ങിയിരിക്കുന്നു.
Nios® II സബ്സിസ്റ്റം
നിയോസ് II പ്രോസസർ, ടെസ്റ്റ് റാപ്പർ, Avalon® -MM വിലാസ ഡീകോഡർ ബ്ലോക്കുകൾ എന്നിവയ്ക്കിടയിൽ Avalon-MM ഡാറ്റ ആർബിട്രേഷൻ അനുവദിക്കുന്ന Avalon-MM ബ്രിഡ്ജ് ഉൾക്കൊള്ളുന്നു.
ടെസ്റ്റ് റാപ്പറിൻ്റെ റേറ്റ്_സ്വിച്ച് രജിസ്റ്റർ മൂല്യത്തിൽ നിന്നുള്ള ഔട്ട്‌പുട്ടിനെ അടിസ്ഥാനമാക്കി ഡാറ്റ റേറ്റ് സ്വിച്ചിംഗ് നടത്താൻ നിയോസ് II ഉത്തരവാദിയാണ്. ടെസ്റ്റ് റാപ്പറിൽ നിന്ന് കമാൻഡ് ലഭിച്ചുകഴിഞ്ഞാൽ ഈ ബ്ലോക്ക് ആവശ്യമായ രജിസ്റ്റർ പ്രോഗ്രാം ചെയ്യുന്നു.

കുറിപ്പ്: ഈ ബ്ലോക്ക് ഡിസൈൻ എക്സിയിൽ ഇല്ലampIntel Arria 10, Intel Agilex 7 F-tile ഉപകരണങ്ങൾക്കായി le ജനറേറ്റുചെയ്‌തു.
സിസ്റ്റം കൺസോൾ
നിങ്ങൾക്ക് ഫസ്റ്റ്-ലെവൽ ഡീബഗ്ഗിംഗ് ചെയ്യുന്നതിനും ഐപിയുടെ സ്റ്റാറ്റസ് നിരീക്ഷിക്കുന്നതിനും ട്രാഫിക് ജനറേറ്ററുകൾക്കും ചെക്കറുകൾക്കുമായി ഒരു ഉപയോക്തൃ-സൗഹൃദ ഇൻ്റർഫേസ് നൽകുന്നു.
ഡെമോ നിയന്ത്രണം
ഈ മൊഡ്യൂളിൽ റീസെറ്റ് സിൻക്രൊണൈസർ മൊഡ്യൂളുകളും ഡിസൈൻ സിസ്റ്റം ഡീബഗ്ഗിംഗിനും ഇനീഷ്യലൈസേഷൻ പ്രക്രിയയ്ക്കുമുള്ള ഇൻ-സിസ്റ്റം സോഴ്‌സ് ആൻഡ് പ്രോബ് (ISSP) മൊഡ്യൂളുകൾ അടങ്ങിയിരിക്കുന്നു.

ബന്ധപ്പെട്ട വിവരങ്ങൾ

  • 25G ഇഥർനെറ്റ് ഇന്റൽ സ്ട്രാറ്റിക്സ് 10 FPGA IP ഉപയോക്തൃ ഗൈഡ്
  • ഇ-ടൈൽ ഹാർഡ് ഐപി ഉപയോക്തൃ ഗൈഡ്
  • eCPRI ഇന്റൽ FPGA IP ഉപയോക്തൃ ഗൈഡ്
  • 25G ഇഥർനെറ്റ് ഇന്റൽ സ്ട്രാറ്റിക്സ് 10 FPGA IP ഡിസൈൻ എക്സ്ampലെ ഉപയോക്തൃ ഗൈഡ്
  • ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 ഡിസൈൻ എക്സിനുള്ള ഇ-ടൈൽ ഹാർഡ് ഐപിampലെസ് ഉപയോക്തൃ ഗൈഡ്
  • Intel Stratix 10 L-, H-Tile Transceiver PHY ഉപയോക്തൃ ഗൈഡ്
  • ഇ-ടൈൽ ട്രാൻസ്‌സിവർ PHY ഉപയോക്തൃ ഗൈഡ്
  • ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 10GBASE-KR PHY IP ഉപയോക്തൃ ഗൈഡ്
  • ഇ-ടൈൽ ഹാർഡ് ഐപി ഇൻ്റൽ അജിലെക്സ് ഡിസൈൻ എക്സ്ampലെ ഉപയോക്തൃ ഗൈഡ്

2.3. സിമുലേഷൻ ഡിസൈൻ എക്സിample
eCPRI ഡിസൈൻ എക്സിample ഒരു സിമുലേഷൻ ടെസ്റ്റ് ബെഞ്ചും സിമുലേഷനും സൃഷ്ടിക്കുന്നു fileനിങ്ങൾ സിമുലേഷൻ അല്ലെങ്കിൽ സിന്തസിസ് & സിമുലേഷൻ ഓപ്‌ഷൻ തിരഞ്ഞെടുക്കുമ്പോൾ eCPRI ഇൻ്റൽ FPGA IP കോർ ഇൻസ്റ്റൻഷ്യേറ്റ് ചെയ്യുന്നു.

ചിത്രം 9. eCPRI ഇൻ്റൽ FPGA IP സിമുലേഷൻ ബ്ലോക്ക് ഡയഗ്രംeCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ - ചിത്രം 9

കുറിപ്പ്: നിയോസ് II സബ്സിസ്റ്റം ബ്ലോക്ക് ഡിസൈൻ എക്സിയിൽ ഇല്ലampIntel Arria 10, Intel Agilex 7 F-tile ഉപകരണങ്ങൾക്കായി le ജനറേറ്റുചെയ്‌തു.
ഈ രൂപകൽപ്പനയിൽ മുൻample, സിമുലേഷൻ ടെസ്റ്റ്ബെഞ്ച് സ്റ്റാർട്ടപ്പ്, ലോക്ക്, ട്രാൻസ്മിറ്റ്, പാക്കറ്റുകൾ സ്വീകരിക്കൽ എന്നിവയ്ക്കായി കാത്തിരിക്കുക തുടങ്ങിയ അടിസ്ഥാന പ്രവർത്തനങ്ങൾ നൽകുന്നു.

വിജയകരമായ ടെസ്റ്റ് റൺ ഇനിപ്പറയുന്ന സ്വഭാവം സ്ഥിരീകരിക്കുന്ന ഔട്ട്പുട്ട് പ്രദർശിപ്പിക്കുന്നു:

  1. ക്ലയന്റ് ലോജിക് ഐപി കോർ പുനഃസജ്ജമാക്കുന്നു.
  2. ക്ലയന്റ് ലോജിക് RX ഡാറ്റാപാത്ത് വിന്യാസത്തിനായി കാത്തിരിക്കുന്നു.
  3. ക്ലയൻ്റ് ലോജിക് അവലോൺ-എസ്ടി ഇൻ്റർഫേസിൽ പാക്കറ്റുകൾ കൈമാറുന്നു.
  4. പാക്കറ്റുകളുടെ ഉള്ളടക്കവും കൃത്യതയും സ്വീകരിക്കുകയും പരിശോധിക്കുകയും ചെയ്യുക.
  5. "ടെസ്റ്റ് പാസ്സായി" എന്ന സന്ദേശം പ്രദർശിപ്പിക്കുക.

2.4 ഇന്റർഫേസ് സിഗ്നലുകൾ
പട്ടിക 5. ഡിസൈൻ എക്സ്ampലെ ഇന്റർഫേസ് സിഗ്നലുകൾ

സിഗ്നൽ ദിശ വിവരണം
clk_ref ഇൻപുട്ട് ഇഥർനെറ്റ് MAC-നുള്ള റഫറൻസ് ക്ലോക്ക്.
• Intel Stratix 10 E-tile, Intel Agilex 7 E-tile, F-tile ഡിസൈനുകൾക്കായി, E-tile Ethernet Hard IP core അല്ലെങ്കിൽ F-tile Ethernet Hard IP core-ന് 156.25 MHz ക്ലോക്ക് ഇൻപുട്ട്. ഇഥർനെറ്റ് ഹാർഡ് ഐപിയിൽ i_clk_ref[0]-ലേക്ക് കണക്റ്റുചെയ്യുക.
• ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 എച്ച്-ടൈൽ ഡിസൈനുകൾക്കായി, ട്രാൻസ്‌സിവർ എടിഎക്‌സ് പിഎൽഎൽ, 322.2625 ജി ഇഥർനെറ്റ് ഐപി എന്നിവയ്‌ക്കായുള്ള 25 മെഗാഹെർട്‌സ് ക്ലോക്ക് ഇൻപുട്ട്. Transceiver ATX PLL-ൽ pll_refclk0[0] എന്നതിലേക്കും 0G ഇഥർനെറ്റ് IP-യിൽ clk_ref[25] എന്നതിലേക്കും കണക്റ്റുചെയ്യുക.
• Intel Arria 10 ഡിസൈനുകൾക്കായി, Transceiver ATX PLL, 322.265625G/ 1GbE, 10GBase-KR PHY IP എന്നിവയ്‌ക്കായി 10 MHz ക്ലോക്ക് ഇൻപുട്ട്. ട്രാൻസ്‌സിവർ ATX PLL-ൽ pll_refclk0[0], 10G/ 0GbE, 1G BASE-KR PHY IP എന്നിവയിൽ rx_cdr_ref_clk_10g[10] എന്നിവയിലേക്ക് കണക്റ്റുചെയ്യുക.
tod_sync_sampling_clk ഇൻപുട്ട് Intel Arria 10 ഡിസൈനുകൾക്കായി, TOD സബ്സിസ്റ്റത്തിനായി 250 MHz ക്ലോക്ക് ഇൻപുട്ട്.
clk100 ഇൻപുട്ട് മാനേജ്മെൻ്റ് ക്ലോക്ക്. PTP-നായി latency_clk സൃഷ്ടിക്കാൻ ഈ ക്ലോക്ക് ഉപയോഗിക്കുന്നു. 100 MHz-ൽ ഡ്രൈവ് ചെയ്യുക.
mgmt_reset_n ഇൻപുട്ട് നിയോസ് II സിസ്റ്റത്തിനായുള്ള സിഗ്നൽ റീസെറ്റ് ചെയ്യുക.
tx_serial ഔട്ട്പുട്ട് TX സീരിയൽ ഡാറ്റ. 4 ചാനലുകൾ വരെ പിന്തുണയ്ക്കുന്നു.
rx_serial ഇൻപുട്ട് RX സീരിയൽ ഡാറ്റ. 4 ചാനലുകൾ വരെ പിന്തുണയ്ക്കുന്നു.
iwf_cpri_ehip_ref_clk ഇൻപുട്ട് ഇ-ടൈൽ CPRI PHY റഫറൻസ് ക്ലോക്ക് ഇൻപുട്ട്. ഈ ക്ലോക്ക് ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 ഇ-ടൈൽ, ഇൻ്റൽ എന്നിവയിൽ മാത്രമേ ഉള്ളൂ
അജിലെക്സ് 7 ഇ-ടൈൽ ഡിസൈനുകൾ. 153.6 Gbps CPRI ലൈൻ നിരക്കിന് 9.8 MHz-ൽ ഡ്രൈവ് ചെയ്യുക.
iwf_cpri_pll_refclk0 ഔട്ട്പുട്ട് CPRI TX PLL റഫറൻസ് ക്ലോക്ക്.
• Intel Stratix 10 H-tile ഡിസൈനുകൾക്ക്: CPRI ഡാറ്റാ നിരക്ക് 307.2 Gbps-ന് 9.8 MHz-ൽ ഡ്രൈവ് ചെയ്യുക.
• Intel Stratix 10 E-tile, Intel Agilex 7 E-tile ഡിസൈനുകൾക്കായി: CPRI ഡാറ്റാ നിരക്ക് 156.25 Gbps-ന് 9.8 MHz-ൽ ഡ്രൈവ് ചെയ്യുക.
iwf_cpri_xcvr_cdr_refclk ഔട്ട്പുട്ട് CPRI റിസീവർ CDR റഫറൻസ് ക്ലോക്ക്. ഈ ക്ലോക്ക് ഇൻ്റൽ സ്ട്രാറ്റിക്സ് 10 എച്ച്-ടൈൽ ഡിസൈനുകളിൽ മാത്രമേ ഉള്ളൂ.
307.2 Gbps CPRI ലൈൻ നിരക്കിന് 9.8 MHz-ൽ ഡ്രൈവ് ചെയ്യുക.
iwf_cpri_xcvr_txdataout ഔട്ട്പുട്ട് CPRI സീരിയൽ ഡാറ്റ കൈമാറുന്നു. 4 ചാനലുകൾ വരെ പിന്തുണയ്ക്കുന്നു.
iwf_cpri_xcvr_rxdatain ഔട്ട്പുട്ട് CPRI റിസീവർ സീരിയൽ ഡാറ്റ. 4 ചാനലുകൾ വരെ പിന്തുണയ്ക്കുന്നു.
cpri_gmii_clk ഇൻപുട്ട് CPRI GMII 125 MHz ഇൻപുട്ട് ക്ലോക്ക്.

ബന്ധപ്പെട്ട വിവരങ്ങൾ
PHY ഇൻ്റർഫേസ് സിഗ്നലുകൾ
25G ഇഥർനെറ്റ് ഇൻ്റൽ FPGA IP-യുടെ PHY ഇൻ്റർഫേസ് സിഗ്നലുകൾ ലിസ്റ്റ് ചെയ്യുന്നു.

2.5. ഡിസൈൻ എക്സിample രജിസ്റ്റർ മാപ്പ്
eCPRI IP കോർ ഡിസൈനിൻ്റെ മുൻ രജിസ്‌റ്റർ മാപ്പിംഗ് ചുവടെയുണ്ട്ampLe:
പട്ടിക 6. eCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ എക്സിample രജിസ്റ്റർ മാപ്പിംഗ്

വിലാസം  രജിസ്റ്റർ ചെയ്യുക
0x20100000 – 0x201FFFFF(2) IOPLL റീ-കോൺഫിഗറേഷൻ രജിസ്റ്റർ.
0x20200000 - 0x203FFFFF ഇഥർനെറ്റ് MAC അവലോൺ-എംഎം രജിസ്റ്റർ
0x20400000 - 0x205FFFFF ഇഥർനെറ്റ് MAC നേറ്റീവ് PHY Avalon-MM രജിസ്റ്റർ
0x20600000 – 0x207FFFFF(2) നേറ്റീവ് PHY RS-FEC അവലോൺ-എംഎം രജിസ്റ്റർ.
0x40000000 - 0x5FFFFFFF eCPRI IP Avalon-MM രജിസ്റ്റർ
0x80000000 - 0x9FFFFFFF ഇഥർനെറ്റ് ഡിസൈൻ ടെസ്റ്റ് ജനറേറ്റർ/വെരിഫയർ അവലോൺ-എംഎം രജിസ്റ്റർ

പട്ടിക 7. നിയോസ് II രജിസ്റ്റർ മാപ്പിംഗ്
ചുവടെയുള്ള പട്ടികയിലെ രജിസ്റ്ററുകൾ ഡിസൈൻ എക്സിയിൽ മാത്രമേ ലഭ്യമാകൂampIntel Stratix 10 അല്ലെങ്കിൽ Intel Agilex 7 ഇ-ടൈൽ ഉപകരണങ്ങൾക്കായി സൃഷ്ടിച്ചതാണ്.

വിലാസം  രജിസ്റ്റർ ചെയ്യുക
0x00100000 - 0x001FFFFF IOPLL റീ-കോൺഫിഗറേഷൻ രജിസ്റ്റർ
0x00200000 - 0x003FFFFF ഇഥർനെറ്റ് MAC അവലോൺ-എംഎം രജിസ്റ്റർ
0x00400000 - 0x005FFFFF ഇഥർനെറ്റ് MAC നേറ്റീവ് PHY Avalon-MM രജിസ്റ്റർ
0x00600000 - 0x007FFFFF നേറ്റീവ് PHY RS-FEC അവലോൺ-എംഎം രജിസ്റ്റർ

കുറിപ്പ്: ബൈറ്റ് ഓഫ്സെറ്റിന് പകരം വേഡ് ഓഫ്സെറ്റ് ഉപയോഗിച്ച് നിങ്ങൾക്ക് ഇഥർനെറ്റ് MAC, ഇഥർനെറ്റ് MAC നേറ്റീവ് PHY AVMM രജിസ്റ്ററുകൾ ആക്സസ് ചെയ്യാൻ കഴിയും.
ഇഥർനെറ്റ് MAC, ഇഥർനെറ്റ് MAC നേറ്റീവ് PHY, eCPRI IP കോർ രജിസ്റ്റർ മാപ്പുകൾ എന്നിവയെക്കുറിച്ചുള്ള വിശദമായ വിവരങ്ങൾക്ക്, ബന്ധപ്പെട്ട ഉപയോക്തൃ ഗൈഡുകൾ കാണുക.

(2) ഡിസൈൻ എക്സിയിൽ മാത്രം ലഭ്യമാണ്ample Intel Stratix 10, Intel Agilex 7 ഇ-ടൈൽ ഉപകരണങ്ങൾക്കായി സൃഷ്ടിച്ചു.

പട്ടിക 8. eCPRI ഇൻ്റൽ FPGA IP ഹാർഡ്‌വെയർ ഡിസൈൻ എക്സ്ample രജിസ്റ്റർ മാപ്പ്

വേഡ് ഓഫ്സെറ്റ്  രജിസ്റ്റർ തരം  ഡിഫോൾട്ട് മൂല്യം  ആക്സസ് തരം
0x0 ഡാറ്റ അയയ്ക്കാൻ ആരംഭിക്കുക:
• ബിറ്റ് 1: PTP, നോൺ-പിടിപി തരം
• ബിറ്റ് 0: eCPRI തരം
0x0 RW
0x1 തുടർച്ചയായ പാക്കറ്റ് പ്രവർത്തനക്ഷമമാക്കുക 0x0 RW
0x2 പിശക് മായ്‌ക്കുക 0x0 RW
0x3 (3) നിരക്ക് സ്വിച്ച്:
• ബിറ്റ് [7]- ടൈൽ സൂചിപ്പിക്കുന്നു:
- 1'b0: എച്ച്-ടൈൽ
- 1'b1: ഇ-ടൈൽ
• ബിറ്റ് [6:4]- ഇഥർനെറ്റ് ഡാറ്റ നിരക്ക് മാറുന്നതിനെ സൂചിപ്പിക്കുന്നു:
— 3'b000: 25G മുതൽ 10G വരെ
— 3'b001: 10G മുതൽ 25G വരെ
• ബിറ്റ് [0]- സ്വിച്ച് റേറ്റ് പ്രവർത്തനക്ഷമമാക്കുക. നിരക്ക് മാറുന്നതിന് ഈ ബിറ്റ് 0 സജ്ജീകരിച്ച് ബിറ്റ് 0 വ്യക്തമാകുന്നത് വരെ വോട്ടെടുപ്പ് നടത്തേണ്ടതുണ്ട്.
ശ്രദ്ധിക്കുക: Intel Agilex 7 F-tile, Intel Arria 10 ഡിസൈനുകൾക്ക് ഈ രജിസ്റ്റർ ലഭ്യമല്ല.
• ഇ-ടൈൽ: 0x80
• എച്ച്-ടൈൽ: 0x0
RW
0x4 (3) നിരക്ക് സ്വിച്ച് ചെയ്തു:
• ബിറ്റ് [1] നിരക്ക് സ്വിച്ചിംഗ് പൂർത്തിയായതായി സൂചിപ്പിക്കുന്നു.
0x0 RO
0x5 (4) സിസ്റ്റം കോൺഫിഗറേഷൻ നില:
• ബിറ്റ് [31]: സിസ്റ്റം തയ്യാറാണ്
• ബിറ്റ് [30]: IWF_EN
• ബിറ്റ് [29]: STARTUP_SEQ_EN
• ബിറ്റ് [28:4]: റിസർവ് ചെയ്തത്
• ബിറ്റ് [3]: EXT_PACKET_EN
• ബിറ്റ് [2:0]: റിസർവ് ചെയ്തത്
0x0 RO
0x6 (4) CPRI ചർച്ചകൾ പൂർത്തിയായി:
• ബിറ്റ് [3:0]: ബിറ്റ് നിരക്ക് പൂർത്തിയായി
• ബിറ്റ് [19:16]: പ്രോട്ടോക്കോൾ പൂർത്തിയായി
0x0 RW
0x7 (4) CPRI ചർച്ചകൾ പൂർത്തിയായി:
• ബിറ്റ് [3:0]: ഫാസ്റ്റ് സി&എം പൂർത്തിയായി
• ബിറ്റ് [19:16]: ഫാസ്റ്റ് VSS പൂർത്തിയായി
0x0 RW
0x8 - 0x1F സംവരണം ചെയ്തു.
0x20 eCPRI പിശക് തടസ്സം:
• ബിറ്റ് [0] തടസ്സത്തെ സൂചിപ്പിക്കുന്നു.
0x0 RO
0x21 ബാഹ്യ പാക്കറ്റുകൾ പിശക് 0x0 RO
0x22 ബാഹ്യ PTP പാക്കറ്റുകൾ TX പാക്കറ്റിൻ്റെ ആരംഭം (SOP) എണ്ണം 0x0 RO
0x23 ബാഹ്യ PTP പാക്കറ്റുകൾ TX എൻഡ് ഓഫ് പാക്കറ്റ് (EOP) എണ്ണം 0x0 RO
0x24 ബാഹ്യമായ വിവിധ പാക്കറ്റുകൾ TX SOP എണ്ണം 0x0 RO
0x25 ബാഹ്യമായ വിവിധ പാക്കറ്റുകൾ TX EOP എണ്ണം 0x0 RO
0x26 ബാഹ്യ RX പാക്കറ്റുകൾ SOP എണ്ണം 0x0 RO
0x27 ബാഹ്യ RX പാക്കറ്റുകൾ EOP എണ്ണം 0x0 RO
0x28 ബാഹ്യ പാക്കറ്റുകളുടെ പിശക് എണ്ണം 0x0 RO
0x29 - 0x2C സംവരണം ചെയ്തു.
0x2D ബാഹ്യ PTP ടൈംസ്‌റ്റ്amp വിരലടയാള പിശകുകളുടെ എണ്ണം 0x0 RO
0x2E ബാഹ്യ PTP ടൈംസ്‌റ്റ്amp വിരലടയാള പിശക് 0x0 RO
0x2F ബാഹ്യ Rx പിശക് നില 0x0 RO
0x30 - 0x47 സംവരണം ചെയ്തു.
0x48 eCPRI പാക്കറ്റുകൾ പിശക് RO
0x49 eCPRI TX SOP എണ്ണം RO
0x4A eCPRI TX EOP എണ്ണം RO
0X4B eCPRI RX SOP എണ്ണം RO
0x4 സി eCPRI RX EOP എണ്ണം RO
0x4D eCPRI പാക്കറ്റുകളുടെ പിശക് എണ്ണം RO

ബന്ധപ്പെട്ട വിവരങ്ങൾ

  • നിയന്ത്രണം, നില, സ്ഥിതിവിവരക്കണക്കുകൾ എന്നിവ രജിസ്റ്റർ വിവരണങ്ങൾ
    25G ഇഥർനെറ്റ് സ്ട്രാറ്റിക്സ് 10 FPGA IP-യുടെ വിവരങ്ങൾ രജിസ്റ്റർ ചെയ്യുക
  • പുനഃക്രമീകരണവും സ്റ്റാറ്റസ് രജിസ്റ്ററും
    വിവരണങ്ങൾ ഇഥർനെറ്റിനായുള്ള ഇ-ടൈൽ ഹാർഡ് ഐപിയുടെ വിവരങ്ങൾ രജിസ്റ്റർ ചെയ്യുക
  • രജിസ്റ്റർ ചെയ്യുന്നു
    eCPRI Intel FPGA IP-യുടെ വിവരങ്ങൾ രജിസ്റ്റർ ചെയ്യുക

eCPRI ഇന്റൽ FPGA IP ഡിസൈൻ എക്സിample യൂസർ ഗൈഡ് ആർക്കൈവ്സ്

ഈ ഉപയോക്തൃ ഗൈഡിന്റെ ഏറ്റവും പുതിയതും മുമ്പത്തെതുമായ പതിപ്പുകൾക്കായി, eCPRI Intel FPGA IP ഡിസൈൻ Ex റഫർ ചെയ്യുകample യൂസർ ഗൈഡ് HTML പതിപ്പ്. പതിപ്പ് തിരഞ്ഞെടുത്ത് ഡൗൺലോഡ് ക്ലിക്ക് ചെയ്യുക. ഒരു IP അല്ലെങ്കിൽ സോഫ്‌റ്റ്‌വെയർ പതിപ്പ് ലിസ്‌റ്റ് ചെയ്‌തിട്ടില്ലെങ്കിൽ, മുമ്പത്തെ IP അല്ലെങ്കിൽ സോഫ്റ്റ്‌വെയർ പതിപ്പിനുള്ള ഉപയോക്തൃ ഗൈഡ് ബാധകമാണ്.

eCPRI ഇൻ്റൽ FPGA IP ഡിസൈനിനായുള്ള ഡോക്യുമെൻ്റ് റിവിഷൻ ചരിത്രം Exampലെ ഉപയോക്തൃ ഗൈഡ്

പ്രമാണ പതിപ്പ് ഇന്റൽ ക്വാർട്ടസ്
പ്രൈം പതിപ്പ്
IP പതിപ്പ് മാറ്റങ്ങൾ
2023.05.19 23.1 2.0.3 • ഡിസൈൻ എക്സ് സിമുലേറ്റിംഗ് അപ്ഡേറ്റ് ചെയ്തുampക്വിക്ക് സ്റ്റാർട്ട് ഗൈഡ് അധ്യായത്തിലെ ടെസ്റ്റ്ബെഞ്ച് വിഭാഗം.
• ഉൽപ്പന്ന കുടുംബപ്പേര് "Intel Agilex 7" ആയി അപ്ഡേറ്റ് ചെയ്തു.
2022.11.15 22.3 2.0.1 വിഭാഗത്തിലെ വിസിഎസ് സിമുലേറ്ററിനായുള്ള അപ്‌ഡേറ്റ് ചെയ്‌ത നിർദ്ദേശങ്ങൾ: ഡിസൈൻ എക്‌സിമുലേറ്റിംഗ്ampലെ ടെസ്റ്റ്ബെഞ്ച്.
2022.07.01 22.1 1.4.1 • ഹാർഡ്‌വെയർ ഡിസൈൻ മുൻ ചേർത്തുampIntel Agilex 7 F-tile ഉപകരണ വ്യതിയാനങ്ങൾക്കുള്ള പിന്തുണ.
• ഇനിപ്പറയുന്ന വികസന കിറ്റുകൾക്ക് പിന്തുണ ചേർത്തു:
— Intel Agilex 7 I-Series FPGA ഡവലപ്മെൻ്റ് കിറ്റ്
— Intel Agilex 7 I-Series Transceiver-SoC വികസന കിറ്റ്
• QuestaSim സിമുലേറ്ററിനുള്ള പിന്തുണ ചേർത്തു.
• മോഡൽസിം* SE സിമുലേറ്ററിനുള്ള പിന്തുണ നീക്കം ചെയ്തു.
2021.10.01 21.2 1.3.1 • Intel Agilex 7 F-tile ഉപകരണങ്ങൾക്കുള്ള പിന്തുണ ചേർത്തു.
• മൾട്ടി-ചാനൽ ഡിസൈനുകൾക്കുള്ള പിന്തുണ ചേർത്തു.
• അപ്ഡേറ്റ് ചെയ്ത പട്ടിക: eCPRI ഇൻ്റൽ FPGA IP ഹാർഡ്വെയർ ഡിസൈൻ എക്സിample രജിസ്റ്റർ മാപ്പ്.
• NCSim സിമുലേറ്ററിനുള്ള പിന്തുണ നീക്കം ചെയ്തു.
2021.02.26 20.4 1.3.0 • Intel Agilex 7 E-tile ഉപകരണങ്ങൾക്കുള്ള പിന്തുണ ചേർത്തു.
2021.01.08 20.3 1.2.0 • eCPRI Intel Stratix 10 FPGA IP Design Ex എന്നതിൽ നിന്ന് പ്രമാണത്തിൻ്റെ പേര് മാറ്റിampലേക്കുള്ള ഉപയോക്തൃ ഗൈഡ്
eCPRI ഇന്റൽ FPGA IP ഡിസൈൻ എക്സിampലെ ഉപയോക്തൃ ഗൈഡ്.
• Intel Arria 10 ഡിസൈനുകൾക്കുള്ള പിന്തുണ ചേർത്തു.
• eCPRI IP ഡിസൈൻ മുൻample ഇപ്പോൾ ഇൻ്റർവർക്കിംഗ് ഫംഗ്ഷൻ (IWF) ഫീച്ചർ പിന്തുണയോടെ ലഭ്യമാണ്.
• eCPRI ഡിസൈൻ മുൻ എന്ന് വ്യക്തമാക്കാൻ ഒരു കുറിപ്പ് ചേർത്തുampIWF സവിശേഷതയുള്ള le 9.8 Gbps CPRI-യിൽ മാത്രമേ ലഭ്യമാകൂ
ലൈൻ ബിറ്റ് നിരക്ക്.
• ഡിസൈൻ സൃഷ്ടിക്കുമ്പോൾ ഡിസൈൻ സൃഷ്ടിക്കുന്ന വിഭാഗത്തിൽ വ്യവസ്ഥകൾ ചേർത്തു മുൻampകൂടെ
ഇൻ്റർവർക്കിംഗ് ഫംഗ്ഷൻ (IWF) പിന്തുണ പാരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കി.
• ചേർത്തുampഡിസൈൻ സിമുലേറ്റിംഗ് എന്ന വിഭാഗത്തിൽ IWF ഫീച്ചർ പ്രവർത്തനക്ഷമമാക്കിയ സിമുലേഷൻ ടെസ്റ്റ് റൺ ഔട്ട്പുട്ട്
Exampലെ ടെസ്റ്റ്ബെഞ്ച്.
• ഇഥർനെറ്റ് ഐപിയിലേക്ക് ഡൈനാമിക് റീകോൺഫിഗറേഷൻ പ്രവർത്തനക്ഷമമാക്കുന്ന പുതിയ വിഭാഗം ചേർത്തു.
• അപ്ഡേറ്റ് ചെയ്ത ഹാർഡ്വെയർ ടെസ്റ്റ് എസ്ampവിഭാഗത്തിൽ le ഔട്ട്പുട്ട്
eCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ പരീക്ഷിക്കുന്നു Example.
2020.06.15 20.1 1.1.0 • 10G ഡാറ്റ നിരക്കിനുള്ള പിന്തുണ ചേർത്തു.
• flow.c file ഇപ്പോൾ ഡിസൈൻ എക്സിയിൽ ലഭ്യമാണ്ampലൂപ്പ്ബാക്ക് മോഡ് തിരഞ്ഞെടുക്കാൻ le ജനറേഷൻ.
• കൾ പരിഷ്കരിച്ചുampഡിസൈൻ എക്സ് സിമുലേറ്റിംഗ് വിഭാഗത്തിൽ സിമുലേഷൻ ടെസ്റ്റ് റണ്ണിനുള്ള ഔട്ട്പുട്ട്ampലെ ടെസ്റ്റ്ബെഞ്ച്.
• കംപൈൽ ചെയ്യലും കോൺഫിഗർ ചെയ്യലും എന്ന വിഭാഗത്തിൽ 10G ഡാറ്റാ റേറ്റ് ഡിസൈൻ പ്രവർത്തിപ്പിക്കുന്നതിന് ഫ്രീക്വൻസി മൂല്യം ചേർത്തു
ഡിസൈൻ എക്സിampഹാർഡ്‌വെയറിൽ le.
• വിഭാഗത്തിൽ ഇനിപ്പറയുന്ന മാറ്റങ്ങൾ വരുത്തി eCPRI Intel FPGA IP ഡിസൈൻ ExampLe:
— 10G നും 25G നും ഇടയിൽ ഡാറ്റ നിരക്ക് മാറുന്നതിനുള്ള കമാൻഡുകൾ ചേർത്തു
- എസ് ചേർത്തുampഡാറ്റാ നിരക്ക് മാറുന്നതിനുള്ള ഔട്ട്പുട്ട്
- ഇ-ടൈൽ ഉപകരണ വ്യതിയാനങ്ങളിൽ ലൂപ്പ്ബാക്ക് തിരഞ്ഞെടുക്കുന്നതിന് TEST_MODE വേരിയബിൾ വിവരങ്ങൾ ചേർത്തു.
• പരിഷ്കരിച്ച eCPRI ഇൻ്റൽ FPGA IP ഹാർഡ്‌വെയർ ഡിസൈൻ Exampപുതിയത് ഉൾപ്പെടുത്താൻ les ഹൈ ലെവൽ ബ്ലോക്ക് ഡയഗ്രം
ബ്ലോക്കുകൾ.
• അപ്ഡേറ്റ് ചെയ്ത പട്ടിക: ഡിസൈൻ എക്സിampപുതിയ സിഗ്നൽ ഉൾപ്പെടുത്താൻ ഇൻ്റർഫേസ് സിഗ്നലുകൾ.
• അപ്ഡേറ്റ് ചെയ്ത ഡിസൈൻ എക്സിample രജിസ്റ്റർ മാപ്പ് വിഭാഗം.
• പുതിയ അനുബന്ധ വിഭാഗം ചേർത്തു: എക്സിക്യൂട്ടബിൾ, ലിങ്കിംഗ് ഫോർമാറ്റ് (.elf) പ്രോഗ്രാമിംഗ് ജനറേറ്റുചെയ്യലും ഡൗൺലോഡ് ചെയ്യലും File .
2020.04.13 19.4 1.1.0 പ്രാരംഭ റിലീസ്.

എ. എക്സിക്യൂട്ടബിൾ ആൻഡ് ലിങ്കിംഗ് ഫോർമാറ്റ് (.elf) പ്രോഗ്രാമിംഗ് ജനറേറ്റുചെയ്യുകയും ഡൗൺലോഡ് ചെയ്യുകയും ചെയ്യുന്നു File

.elf എങ്ങനെ ജനറേറ്റ് ചെയ്യാമെന്നും ഡൗൺലോഡ് ചെയ്യാമെന്നും ഈ വിഭാഗം വിവരിക്കുന്നു file ബോർഡിലേക്ക്:

  1. എന്നതിലേക്ക് ഡയറക്ടറി മാറ്റുകample_dir>/സിന്തസിസ്/ക്വാറ്റസ്.
  2. ഇൻ്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ സോഫ്‌റ്റ്‌വെയറിൽ, ഓപ്പൺ പ്രൊജക്‌റ്റ് ക്ലിക്ക് ചെയ്‌ത് തുറക്കുകample_dir>/synthesis/quartus/epri_ed.qpf. ഇനി ടൂൾസ് ➤ Nios II Software Build Tools for Eclipse തിരഞ്ഞെടുക്കുക.
    ചിത്രം 10. നിയോസ് II സോഫ്‌റ്റ്‌വെയർ എക്ലിപ്‌സിനായുള്ള ബിൽഡ് ടൂളുകൾeCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ - ചിത്രം 10
  3. വർക്ക്‌സ്‌പേസ് ലോഞ്ചർ വിൻഡോ പ്രോംപ്റ്റ് ദൃശ്യമാകുന്നു. വർക്ക്‌സ്‌പെയ്‌സിൽ പാത ഇതായി വ്യക്തമാക്കുകampനിങ്ങളുടെ എക്ലിപ്സ് പ്രോജക്റ്റ് സംഭരിക്കാൻ le_dir>/synthesis/quatus. പുതിയ നിയോസ് II - എക്ലിപ്സ് വിൻഡോ ദൃശ്യമാകുന്നു.
    ചിത്രം 11. വർക്ക്‌സ്‌പേസ് ലോഞ്ചർ വിൻഡോeCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ - ചിത്രം 11
  4. നിയോസ് II - എക്ലിപ്സ് വിൻഡോയിൽ, പ്രോജക്റ്റ് എക്സ്പ്ലോറർ ടാബിന് കീഴിൽ വലത്-ക്ലിക്കുചെയ്ത് പുതിയ ➤ നിയോസ് II ബോർഡ് പിന്തുണ പാക്കേജ് തിരഞ്ഞെടുക്കുക. പുതിയ വിൻഡോ ദൃശ്യമാകുന്നു.
    ചിത്രം 12. പ്രോജക്റ്റ് എക്സ്പ്ലോറർ ടാബ്eCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ - ചിത്രം 12
  5. നിയോസ് II ബോർഡ് സപ്പോർട്ട് പാക്കേജ് വിൻഡോയിൽ:
    • പ്രോജക്റ്റ് നെയിം പാരാമീറ്ററിൽ, നിങ്ങൾ ആഗ്രഹിക്കുന്ന പ്രോജക്റ്റ് പേര് വ്യക്തമാക്കുക.
    • SOPC വിവരങ്ങളിൽ File പേര് പാരാമീറ്റർ, ലൊക്കേഷനിലേക്ക് ബ്രൗസ് ചെയ്യുകample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. പൂർത്തിയാക്കുക ക്ലിക്കുചെയ്യുക.
    ചിത്രം 13. നിയോസ് II ബോർഡ് സപ്പോർട്ട് പാക്കേജ് വിൻഡോeCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ - ചിത്രം 13
  6. നിയോസ് II എക്ലിപ്സ് വിൻഡോയിൽ പ്രൊജക്റ്റ് എക്സ്പ്ലോറർ ടാബിന് കീഴിൽ പുതുതായി സൃഷ്ടിച്ച പ്രോജക്റ്റ് ദൃശ്യമാകുന്നു. പ്രോജക്റ്റ് എക്സ്പ്ലോറർ ടാബിന് കീഴിൽ വലത്-ക്ലിക്കുചെയ്യുക, തുടർന്ന് നിയോസ് II ➤ നിയോസ് II കമാൻഡ് ഷെൽ തിരഞ്ഞെടുക്കുക.
    ചിത്രം 14. പ്രോജക്റ്റ് എക്സ്പ്ലോറർ- നിയോസ് II കമാൻഡ് ഷെൽeCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ - ചിത്രം 14
  7. നിയോസ് II കമാൻഡ് ഷെല്ലിൽ, ഇനിപ്പറയുന്ന മൂന്ന് കമാൻഡുകൾ ടൈപ്പ് ചെയ്യുക: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir ആപ്പ് –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
  8. The .elf file ഇനിപ്പറയുന്ന സ്ഥലത്ത് ജനറേറ്റുചെയ്യുന്നു:ample_dir>/ സിന്തസിസ്/ip_components/software/ /ആപ്പ്.
  9. ബോർഡിലേക്ക് .elf ഡൗൺലോഡ് ചെയ്യുന്നതിന് നിയോസ് II കമാൻഡ് ഷെല്ലിൽ ഇനിപ്പറയുന്ന കമാൻഡ് ടൈപ്പ് ചെയ്യുക:
    • Intel Stratix 10-ന്: nios2-ഡൗൺലോഡ് -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
    • Intel Agilex 7-നായി: nios2-ഡൗൺലോഡ് -g -r -c 1 -d 1 –accept-bad-sysid app/nios_system.elf

ഇൻ്റൽ ലോഗോeCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ - ഐക്കൺ ഓൺലൈൻ പതിപ്പ്
eCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ - ഐക്കൺ 1 ഫീഡ്‌ബാക്ക് അയയ്‌ക്കുക
യുജി-20278
683837
2023.05.19
eCPRI Intel® FPGA IP ഡിസൈൻ എക്സിampലെ ഉപയോക്തൃ ഗൈഡ്

പ്രമാണങ്ങൾ / വിഭവങ്ങൾ

ഇൻ്റൽ ഇസിപിആർഐ ഇൻ്റൽ എഫ്പിജിഎ ഐപി ഡിസൈൻ [pdf] ഉപയോക്തൃ ഗൈഡ്
eCPRI ഇൻ്റൽ FPGA IP ഡിസൈൻ, eCPRI, Intel FPGA IP ഡിസൈൻ, FPGA IP ഡിസൈൻ, IP ഡിസൈൻ, ഡിസൈൻ

റഫറൻസുകൾ

ഒരു അഭിപ്രായം ഇടൂ

നിങ്ങളുടെ ഇമെയിൽ വിലാസം പ്രസിദ്ധീകരിക്കില്ല. ആവശ്യമായ ഫീൽഡുകൾ അടയാളപ്പെടുത്തി *