FPGA ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ്
Intel® Quartus® Prime Design Suite-നായി അപ്ഡേറ്റ് ചെയ്തത്: 20.3
ഓൺലൈൻ പതിപ്പ് ഫീഡ്ബാക്ക് അയയ്ക്കുക
യുജി-01063
ഐഡി: 683490 പതിപ്പ്: 2020.10.05
ഉള്ളടക്കം
ഉള്ളടക്കം
1. ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ …………………………………………………………………… 5
2. LPM_COUNTER (കൗണ്ടർ) IP കോർ ……………………………………………………………………………… 7 2.1. സവിശേഷതകൾ ……………………………………………………………………………………………… 7 2.2. വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ് ……………………………………………………………………………… 8 2.3. VHDL ഘടക പ്രഖ്യാപനം …………………………………………………………………… 8 2.4. VHDL LIBRARY_USE പ്രഖ്യാപനം ……………………………………………………………… 9 2.5. തുറമുഖങ്ങൾ ……………………………………………………………………………………………….. 9 2.6 പാരാമീറ്ററുകൾ …………………………………………………………………………………………………… 10
3. LPM_DIVIDE (ഡിവൈഡർ) Intel FPGA IP കോർ……………………………………………………………… 12 3.1. ഫീച്ചറുകൾ………………………………………………………………………………………………. 12 3.2. വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ്…………………………………………………………………… 12 3.3. VHDL ഘടക പ്രഖ്യാപനം…………………………………………………………………… 13 3.4. VHDL LIBRARY_USE പ്രഖ്യാപനം…………………………………………………………………… 13 3.5. തുറമുഖങ്ങൾ ………………………………………………………………………………………… 13 3.6. പാരാമീറ്ററുകൾ …………………………………………………………………………………………………… 14
4. LPM_MULT (മൾട്ടിപ്ലയർ) IP കോർ………………………………………………………………. 16 4.1. ഫീച്ചറുകൾ………………………………………………………………………………………………. 16 4.2. വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ്…………………………………………………………………… 17 4.3. VHDL ഘടക പ്രഖ്യാപനം…………………………………………………………………… 17 4.4. VHDL LIBRARY_USE പ്രഖ്യാപനം……………………………………………………………… 17 4.5. സിഗ്നലുകൾ …………………………………………………………………………………………………………………………………… 18 4.6. സ്ട്രാറ്റിക്സ് വി, അരിരിയ വി, സൈക്ലോൺ വി, ഇന്റൽ സൈക്ലോൺ 10 എൽപി ഡിവൈസുകൾക്കുള്ള പാരാമീറ്ററുകൾ………………. 18 4.6.1. പൊതു ടാബ് ……………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………… 18 4.6.2. പൊതുവായ 2 ടാബ് ……………………………………………………………………………… 19 4.6.3. പൈപ്പ്ലൈനിംഗ് ടാബ്……………………………………………………………………………… 19 4.7. Intel Stratix 10, Intel Arria 10, Intel Cyclone 10 GX ഉപകരണങ്ങൾക്കുള്ള പാരാമീറ്ററുകൾ........ 20 4.7.1. പൊതുവായ ടാബ് ………………………………………………………………………………………………………………………… 20 4.7.2. പൊതുവായ 2 ടാബ് ……………………………………………………………………………… 20 4.7.3. പൈപ്പ്ലൈനിംഗ് …………………………………………………………………………………………………… 21
5. LPM_ADD_SUB (ആഡർ/സബ്ട്രാക്ടർ)………………………………………………………………………… 22 5.1. ഫീച്ചറുകൾ………………………………………………………………………………………………. 22 5.2. വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ്……………………………………………………………………………… 23 5.3. VHDL ഘടക പ്രഖ്യാപനം…………………………………………………………………… 23 5.4. VHDL LIBRARY_USE പ്രഖ്യാപനം……………………………………………………………… 23 5.5. തുറമുഖങ്ങൾ…………………………………………………………………………………………………… 23 5.6. പാരാമീറ്ററുകൾ …………………………………………………………………………………………………… 24
6. LPM_COMPARE (താരതമ്യക്കാരൻ)…………………………………………………………………………………… 26 6.1. ഫീച്ചറുകൾ………………………………………………………………………………………………. 26 6.2. വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ്……………………………………………………………………………… 27 6.3. VHDL ഘടക പ്രഖ്യാപനം…………………………………………………………………… 27 6.4. VHDL LIBRARY_USE പ്രഖ്യാപനം……………………………………………………………… 27 6.5. തുറമുഖങ്ങൾ …………………………………………………………………………………………………… 27 6.6. പാരാമീറ്ററുകൾ …………………………………………………………………………………………………… 28
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 2
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഉള്ളടക്കം
7. ALTECC (പിശക് തിരുത്തൽ കോഡ്: എൻകോഡർ/ഡീകോഡർ) IP കോർ………………………………………… 30
7.1 ALTECC എൻകോഡർ ഫീച്ചറുകൾ …………………………………………………………………………………………………………………………………………………… ..31 7.2. വെരിലോഗ് HDL പ്രോട്ടോടൈപ്പ് (ALTECC_ENCODER)…………………………………………. 32 7.3. വെരിലോഗ് HDL പ്രോട്ടോടൈപ്പ് (ALTECC_DECODER)…………………………………………. 32 7.4. VHDL ഘടക പ്രഖ്യാപനം (ALTECC_ENCODER)………………………………………… 33 7.5. VHDL ഘടക പ്രഖ്യാപനം (ALTECC_DECODER)………………………………………… 33 7.6. VHDL LIBRARY_USE പ്രഖ്യാപനം……………………………………………………………… 33 7.7. എൻകോഡർ പോർട്ടുകൾ……………………………………………………………………………… 33 7.8. ഡീകോഡർ പോർട്ടുകൾ………………………………………………………………………………………… 34 7.9. എൻകോഡർ പാരാമീറ്ററുകൾ……………………………………………………………………………… 34 7.10. ഡീകോഡർ പാരാമീറ്ററുകൾ ………………………………………………………………………… 35
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ……………………………………………………. 36
8.1 ഫീച്ചറുകൾ………………………………………………………………………………………………. 37 8.1.1. പ്രീ-ആഡർ ………………………………………………………………………………………… 38 8.1.2. സിസ്റ്റോളിക് കാലതാമസം രജിസ്റ്റർ …………………………………………………………………… 40 8.1.3. പ്രീ-ലോഡ് സ്ഥിരാങ്കം………………………………………………………………………… 43 8.1.4. ഡബിൾ അക്യുമുലേറ്റർ………………………………………………………………………… 43
8.2 വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ്………………………………………………………………………… 44 8.3. VHDL ഘടക പ്രഖ്യാപനം …………………………………………………………………… 44 8.4. VHDL LIBRARY_USE പ്രഖ്യാപനം……………………………………………………………… 44 8.5. സിഗ്നലുകൾ………………………………………………………………………………………………………… 44 8.6. പാരാമീറ്ററുകൾ ……………………………………………………………………………………………………………… 47
8.6.1. ജനറൽ ടാബ് …………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………… 47 8.6.2. അധിക മോഡുകൾ ടാബ് …………………………………………………………………… 47 8.6.3. മൾട്ടിപ്ലയേഴ്സ് ടാബ് ……………………………………………………………………………… 49 8.6.4. പ്രെഡർ ടാബ് …………………………………………………………………………. 51 8.6.5. അക്യുമുലേറ്റർ ടാബ് ……………………………………………………………….. 53 8.6.6. സിസ്റ്റോളിക്/ചൈനൗട്ട് ടാബ്……………………………………………………. 55 8.6.7. പൈപ്പ്ലൈനിംഗ് ടാബ് ………………………………………………………………………… 56
9. ALTMEMMULT (മെമ്മറി അധിഷ്ഠിത കോൺസ്റ്റന്റ് കോഫിഫിഷ്യന്റ് മൾട്ടിപ്ലയർ) IP കോർ................................ 57
9.1 ഫീച്ചറുകൾ………………………………………………………………………………………………. 57 9.2. വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ്………………………………………………………………………… 58 9.3. VHDL ഘടക പ്രഖ്യാപനം ……………………………………………………………… .. 58 9.4. തുറമുഖങ്ങൾ…………………………………………………………………………………………………… 59 9.5. പാരാമീറ്ററുകൾ …………………………………………………………………………………………………… 59
10. ALTMULT_ACCUM (മൾട്ടിപ്ലൈ-അക്യുമുലേറ്റ്) IP കോർ…………………………………………………… 61
10.1 സവിശേഷതകൾ …………………………………………………………………………………………………… 62 10.2. വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ് ……………………………………………………………………………………………… 62 10.3. VHDL ഘടക പ്രഖ്യാപനം……………………………………………………………… 63 10.4. VHDL LIBRARY_USE ഡിക്ലറേഷൻ ……………………………………………………………… 63 10.5. തുറമുഖങ്ങൾ……………………………………………………………………………… 63 10.6. പാരാമീറ്ററുകൾ …………………………………………………………………………. 64
11. ALTMULT_ADD (മൾട്ടിപ്ലൈ-ആഡർ) IP കോർ ………………………………………………………………..69
11.1 സവിശേഷതകൾ …………………………………………………………………………………………………… 71 11.2. വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ് ……………………………………………………………………………… 72 11.3. VHDL ഘടക പ്രഖ്യാപനം……………………………………………………………… 72 11.4. VHDL LIBRARY_USE പ്രഖ്യാപനം ……………………………………………………………… 72
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 3
ഉള്ളടക്കം
11.5 തുറമുഖങ്ങൾ……………………………………………………………………………… 72 11.6. പാരാമീറ്ററുകൾ …………………………………………………………………………. 73
12. ALTMULT_COMPLEX (കോംപ്ലക്സ് മൾട്ടിപ്ലയർ) IP കോർ…………………………………………………… 86 12.1. സങ്കീർണ്ണമായ ഗുണനം …………………………………………………………………… 86 12.2. കാനോനിക്കൽ പ്രാതിനിധ്യം……………………………………………………………… 87 12.3. പരമ്പരാഗത പ്രാതിനിധ്യം…………………………………………………… 87 12.4. സവിശേഷതകൾ …………………………………………………………………………………………………… 88 12.5. വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ് …………………………………………………………………………………….88 12.6. VHDL ഘടക പ്രഖ്യാപനം……………………………………………………………… 89 12.7. VHDL LIBRARY_USE പ്രഖ്യാപനം……………………………………………………………… 89 12.8. സിഗ്നലുകൾ…………………………………………………………………………. 89 12.9. പാരാമീറ്ററുകൾ …………………………………………………………………………. 90
13. ALTSQRT (Integer Square Root) IP കോർ …………………………………………………… 92 13.1. സവിശേഷതകൾ …………………………………………………………………………………………………… 92 13.2. വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ് ……………………………………………………………………………… 92 13.3. VHDL ഘടക പ്രഖ്യാപനം …………………………………………………………………… 93 13.4. VHDL LIBRARY_USE പ്രഖ്യാപനം ……………………………………………………………… 93 13.5. തുറമുഖങ്ങൾ……………………………………………………………………………… 93 13.6. പാരാമീറ്ററുകൾ …………………………………………………………………………. 94
14. PARALLEL_ADD (സമാന്തര ആഡർ) IP കോർ ……………………………………………………………… . 95 14.1. ഫീച്ചർ……………………………………………………………………………………..95 14.2. വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ് ………………………………………………………………………… . 95 14.3. VHDL ഘടക പ്രഖ്യാപനം……………………………………………………………… 96 14.4. VHDL LIBRARY_USE പ്രഖ്യാപനം ……………………………………………………………… 96 14.5. തുറമുഖങ്ങൾ……………………………………………………………………………… 96 14.6. പാരാമീറ്ററുകൾ …………………………………………………………………………. 97
15. ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് ഡോക്യുമെന്റ് ആർക്കൈവ്സ്……………………………… 98
16. Intel FPGA ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോർ ഉപയോക്തൃ ഗൈഡിനുള്ള ഡോക്യുമെന്റ് റിവിഷൻ ഹിസ്റ്ററി…. 99
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 4
ഫീഡ്ബാക്ക് അയയ്ക്കുക
683490 | 2020.10.05 ഫീഡ്ബാക്ക് അയയ്ക്കുക
1. ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ
നിങ്ങളുടെ രൂപകൽപ്പനയിൽ ഗണിത പ്രവർത്തനങ്ങൾ നടത്താൻ നിങ്ങൾക്ക് Intel® FPGA പൂർണ്ണസംഖ്യ IP കോറുകൾ ഉപയോഗിക്കാം.
ഈ ഫംഗ്ഷനുകൾ നിങ്ങളുടെ സ്വന്തം ഫംഗ്ഷനുകൾ കോഡ് ചെയ്യുന്നതിനേക്കാൾ കൂടുതൽ കാര്യക്ഷമമായ ലോജിക് സിന്തസിസും ഉപകരണം നടപ്പിലാക്കലും വാഗ്ദാനം ചെയ്യുന്നു. നിങ്ങളുടെ ഡിസൈൻ ആവശ്യകതകൾ ഉൾക്കൊള്ളുന്നതിനായി നിങ്ങൾക്ക് IP കോറുകൾ ഇഷ്ടാനുസൃതമാക്കാൻ കഴിയും.
ഇന്റൽ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഇനിപ്പറയുന്ന രണ്ട് വിഭാഗങ്ങളായി തിരിച്ചിരിക്കുന്നു: · പാരാമീറ്ററൈസ്ഡ് മൊഡ്യൂളുകളുടെ ലൈബ്രറി (എൽപിഎം) ഐപി കോറുകൾ · ഇന്റൽ-സ്പെസിഫിക് (എഎൽടി) ഐപി കോറുകൾ
ഇനിപ്പറയുന്ന പട്ടികയിൽ പൂർണ്ണസംഖ്യാ ഗണിത ഐപി കോറുകൾ പട്ടികപ്പെടുത്തുന്നു.
പട്ടിക 1.
ഐപി കോറുകളുടെ പട്ടിക
ഐപി കോറുകൾ
LPM IP കോറുകൾ
LPM_COUNTER
LPM_DIVIDE
LPM_MULT
LPM_ADD_SUB
LPM_COMPARE
ഇന്റൽ-സ്പെസിഫിക് (ALT) IP കോറുകൾ ALTECC
ഫംഗ്ഷൻ കഴിഞ്ഞുview കൌണ്ടർ ഡിവൈഡർ മൾട്ടിപ്ലയർ
ആഡർ അല്ലെങ്കിൽ സബ്ട്രാക്ടർ കോമ്പറേറ്റർ
ECC എൻകോഡർ/ഡീകോഡർ
പിന്തുണയ്ക്കുന്ന ഉപകരണം
Arria® II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone® IV E, Cyclone IV GX, Cyclone V, Intel Cyclone 10 LP,
ഇന്റൽ സൈക്ലോൺ 10 GX, MAX® II, MAX V, MAX 10, Stratix® IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
സൈക്ലോൺ V, ഇന്റൽ സൈക്ലോൺ 10 LP, ഇന്റൽ സൈക്ലോൺ 10 GX, MAX II, MAX V, MAX 10, സ്ട്രാറ്റിക്സ് IV, സ്ട്രാറ്റിക്സ് V, ഇന്റൽ സ്ട്രാറ്റിക്സ് 10
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
സൈക്ലോൺ V, ഇന്റൽ സൈക്ലോൺ 10 LP, ഇന്റൽ സൈക്ലോൺ 10 GX, MAX II, MAX V, MAX 10, സ്ട്രാറ്റിക്സ് IV, സ്ട്രാറ്റിക്സ് V, ഇന്റൽ സ്ട്രാറ്റിക്സ് 10
Arria II GX, Arria II GZ, Arria V, Cyclone IV E, Cyclone IV GX, Cyclone V, Intel Cyclone 10 LP, MAX 10, MAX
II, MAX V, സ്ട്രാറ്റിക്സ് IV, സ്ട്രാറ്റിക്സ് V
Arria II GX, Arria II GZ, Arria V, Cyclone IV E, Cyclone IV GX, Cyclone V, Intel Cyclone 10 LP, MAX 10, MAX
II, MAX V, സ്ട്രാറ്റിക്സ് IV, സ്ട്രാറ്റിക്സ് V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
സൈക്ലോൺ V, ഇന്റൽ സൈക്ലോൺ 10 LP, ഇന്റൽ സൈക്ലോൺ 10 GX, MAX II, MAX V, MAX
10, സ്ട്രാറ്റിക്സ് IV, സ്ട്രാറ്റിക്സ് വി തുടർന്നു...
ഇന്റൽ കോർപ്പറേഷൻ. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം. ഇന്റൽ, ഇന്റൽ ലോഗോ, മറ്റ് ഇന്റൽ മാർക്കുകൾ എന്നിവ ഇന്റൽ കോർപ്പറേഷന്റെയോ അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളുടെയോ വ്യാപാരമുദ്രകളാണ്. ഇന്റലിന്റെ സ്റ്റാൻഡേർഡ് വാറന്റിക്ക് അനുസൃതമായി അതിന്റെ FPGA, അർദ്ധചാലക ഉൽപ്പന്നങ്ങളുടെ പ്രകടനം നിലവിലെ സ്പെസിഫിക്കേഷനുകളിലേക്ക് Intel വാറന്റ് ചെയ്യുന്നു, എന്നാൽ അറിയിപ്പ് കൂടാതെ ഏത് സമയത്തും ഏത് ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം നിക്ഷിപ്തമാണ്. Intel രേഖാമൂലം രേഖാമൂലം സമ്മതിച്ചതല്ലാതെ ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങളുടെയോ ഉൽപ്പന്നത്തിന്റെയോ സേവനത്തിന്റെയോ ആപ്ലിക്കേഷനിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന ഉത്തരവാദിത്തമോ ബാധ്യതയോ Intel ഏറ്റെടുക്കുന്നില്ല. ഏതെങ്കിലും പ്രസിദ്ധീകരിച്ച വിവരങ്ങളെ ആശ്രയിക്കുന്നതിന് മുമ്പും ഉൽപ്പന്നങ്ങൾക്കോ സേവനങ്ങൾക്കോ ഓർഡറുകൾ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സവിശേഷതകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ഇന്റൽ ഉപഭോക്താക്കളോട് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.
ISO 9001:2015 രജിസ്റ്റർ ചെയ്തു
1. ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ 683490 | 2020.10.05
IP കോറുകൾ Intel FPGA മൾട്ടിപ്ലൈ ആഡർ അല്ലെങ്കിൽ ALTERA_MULT_ADD ALTMEMMULT
ALTMULT_ACCUM ALTMULT_ADD ALTMULT_COMPLEX
ALTSQRT
PARALLEL_ADD
ഫംഗ്ഷൻ കഴിഞ്ഞുview മൾട്ടിപ്ലയർ-അഡ്ഡർ
മെമ്മറി അടിസ്ഥാനമാക്കിയുള്ള കോൺസ്റ്റന്റ് കോഫിഫിഷ്യന്റ് മൾട്ടിപ്ലയർ
മൾട്ടിപ്ലയർ-അക്യുമുലേറ്റർ മൾട്ടിപ്ലയർ-ആഡർ
കോംപ്ലക്സ് മൾട്ടിപ്ലയർ
പൂർണ്ണസംഖ്യ സ്ക്വയർ-റൂട്ട്
സമാന്തര ആഡർ
പിന്തുണയ്ക്കുന്ന ഉപകരണം
Arria V, Stratix V, Cyclone V, Intel Stratix 10, Intel Arria 10, Intel Cyclone
10 GX
Arria II GX, Arria II GZ, Arria V, Intel Arria 10 (Intel Quartus® Prime Standard Edition), Cyclone IV E, Cyclone IV GX, Cyclone V, Intel
ചുഴലിക്കാറ്റ് 10 LP, MAX II, MAX V, MAX 10, സ്ട്രാറ്റിക്സ് IV, സ്ട്രാറ്റിക്സ് V
Arria II GX, Arria II GZ, Cyclone IV E, Cyclone IV GX, Intel Cyclone 10 LP, MAX 10, MAX II, MAX V, Stratix IV
Arria II GX, Arria II GZ, Cyclone IV E, Cyclone IV GX, Intel Cyclone 10 LP, MAX 10, MAX II, MAX V, Stratix IV
Arria II GX, Arria II GZ, Intel Arria 10, Arria V, Arria V GZ, Cyclone IV E, Cyclone IV GX, Cyclone V, Intel
സൈക്ലോൺ 10 GX, Intel Cyclone 10 LP, MAX 10, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
സൈക്ലോൺ V, ഇന്റൽ സൈക്ലോൺ 10 LP, ഇന്റൽ സൈക്ലോൺ 10 GX, MAX II, MAX V, MAX
10, സ്ട്രാറ്റിക്സ് IV, സ്ട്രാറ്റിക്സ് വി
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
സൈക്ലോൺ V, ഇന്റൽ സൈക്ലോൺ 10 LP, ഇന്റൽ സൈക്ലോൺ 10 GX, MAX II, MAX V, MAX
10, സ്ട്രാറ്റിക്സ് IV, സ്ട്രാറ്റിക്സ് വി
ബന്ധപ്പെട്ട വിവരങ്ങൾ
ഇന്റൽ എഫ്പിജിഎകളും പ്രോഗ്രാമബിൾ ഡിവൈസുകളും റിലീസ് നോട്ടുകൾ
ഇന്റൽ എഫ്പിജിഎ ഐപി കോറുകളിലേക്കുള്ള ആമുഖം ഇന്റൽ എഫ്പിജിഎ ഐപി കോറുകളെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾ നൽകുന്നു.
· ഫ്ലോട്ടിംഗ്-പോയിന്റ് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് ഇന്റൽ എഫ്പിജിഎ ഫ്ലോട്ടിംഗ്-പോയിന്റ് ഐപി കോറുകളെക്കുറിച്ച് കൂടുതൽ വിവരങ്ങൾ നൽകുന്നു.
ഇന്റൽ എഫ്പിജിഎ ഐപി കോറുകളിലേക്കുള്ള ആമുഖം ഐപി കോറുകൾ പാരാമീറ്ററൈസ് ചെയ്യൽ, ജനറേറ്റുചെയ്യൽ, നവീകരിക്കൽ, സിമുലേറ്റിംഗ് എന്നിവ ഉൾപ്പെടെ എല്ലാ ഇന്റൽ എഫ്പിജിഎ ഐപി കോറുകളെയും കുറിച്ചുള്ള പൊതുവായ വിവരങ്ങൾ നൽകുന്നു.
· പതിപ്പ്-സ്വതന്ത്ര IP, Qsys സിമുലേഷൻ സ്ക്രിപ്റ്റുകൾ സൃഷ്ടിക്കുന്നു സോഫ്റ്റ്വെയർ അല്ലെങ്കിൽ IP പതിപ്പ് അപ്ഗ്രേഡുകൾക്കായി മാനുവൽ അപ്ഡേറ്റുകൾ ആവശ്യമില്ലാത്ത സിമുലേഷൻ സ്ക്രിപ്റ്റുകൾ സൃഷ്ടിക്കുക.
· നിങ്ങളുടെ പ്രോജക്റ്റിന്റെയും ഐപിയുടെയും കാര്യക്ഷമമായ മാനേജ്മെന്റിനും പോർട്ടബിലിറ്റിക്കുമുള്ള പ്രോജക്ട് മാനേജ്മെന്റ് മികച്ച രീതികൾ മാർഗ്ഗനിർദ്ദേശങ്ങൾ files.
· ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് ഡോക്യുമെന്റ് ആർക്കൈവ്സ് പേജ് 98-ൽ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകളുടെ മുൻ പതിപ്പുകൾക്കായുള്ള ഉപയോക്തൃ ഗൈഡുകളുടെ ഒരു ലിസ്റ്റ് നൽകുന്നു.
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 6
ഫീഡ്ബാക്ക് അയയ്ക്കുക
683490 | 2020.10.05 ഫീഡ്ബാക്ക് അയയ്ക്കുക
2. LPM_COUNTER (കൗണ്ടർ) IP കോർ
ചിത്രം 1.
LPM_COUNTER IP കോർ എന്നത് ഒരു ബൈനറി കൗണ്ടറാണ്, അത് 256 ബിറ്റുകൾ വരെ വീതിയുള്ള ഔട്ട്പുട്ടുകളുള്ള അപ്പ് കൗണ്ടറുകൾ, ഡൗൺ കൗണ്ടറുകൾ, അപ് അല്ലെങ്കിൽ ഡൗൺ കൗണ്ടറുകൾ എന്നിവ സൃഷ്ടിക്കുന്നു.
ഇനിപ്പറയുന്ന ചിത്രം LPM_COUNTER IP കോറിന്റെ പോർട്ടുകൾ കാണിക്കുന്നു.
LPM_COUNTER പോർട്ടുകൾ
LPM_COUNTER
ssclr സ്ലോഡ് സെറ്റ് ഡാറ്റ[]
ക്യു[]
അപ്ഡേറ്റ്
കട്ട്
aclr aload അസറ്റ്
clk_en cnt_en സിൻ
inst
2.1. സവിശേഷതകൾ
LPM_COUNTER IP കോർ ഇനിപ്പറയുന്ന സവിശേഷതകൾ വാഗ്ദാനം ചെയ്യുന്നു: · മുകളിലേക്കും താഴേക്കും മുകളിലേക്കും താഴേക്കും കൗണ്ടറുകൾ സൃഷ്ടിക്കുന്നു · ഇനിപ്പറയുന്ന കൗണ്ടർ തരങ്ങൾ സൃഷ്ടിക്കുന്നു:
— പ്ലെയിൻ ബൈനറി- പൂജ്യത്തിൽ നിന്ന് ആരംഭിക്കുന്ന കൌണ്ടർ ഇൻക്രിമെന്റുകൾ അല്ലെങ്കിൽ 255 മുതൽ ആരംഭിക്കുന്ന ഡിക്രിമെന്റുകൾ
— മോഡുലസ്-ഉപയോക്താവ് വ്യക്തമാക്കിയ മോഡുലസ് മൂല്യത്തിൽ നിന്ന് കൌണ്ടർ വർദ്ധനവ് അല്ലെങ്കിൽ കുറയുകയും ആവർത്തിക്കുകയും ചെയ്യുന്നു
· ഓപ്ഷണൽ സിൻക്രണസ് ക്ലിയർ, ലോഡ്, സെറ്റ് ഇൻപുട്ട് പോർട്ടുകൾ പിന്തുണയ്ക്കുന്നു · ഓപ്ഷണൽ അസിൻക്രണസ് ക്ലിയർ, ലോഡ്, ഇൻപുട്ട് പോർട്ടുകൾ സജ്ജീകരിക്കൽ എന്നിവ പിന്തുണയ്ക്കുന്നു
ഇന്റൽ കോർപ്പറേഷൻ. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം. ഇന്റൽ, ഇന്റൽ ലോഗോ, മറ്റ് ഇന്റൽ മാർക്കുകൾ എന്നിവ ഇന്റൽ കോർപ്പറേഷന്റെയോ അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളുടെയോ വ്യാപാരമുദ്രകളാണ്. ഇന്റലിന്റെ സ്റ്റാൻഡേർഡ് വാറന്റിക്ക് അനുസൃതമായി അതിന്റെ FPGA, അർദ്ധചാലക ഉൽപ്പന്നങ്ങളുടെ പ്രകടനം നിലവിലെ സ്പെസിഫിക്കേഷനുകളിലേക്ക് Intel വാറന്റ് ചെയ്യുന്നു, എന്നാൽ അറിയിപ്പ് കൂടാതെ ഏത് സമയത്തും ഏത് ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം നിക്ഷിപ്തമാണ്. Intel രേഖാമൂലം രേഖാമൂലം സമ്മതിച്ചതല്ലാതെ ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങളുടെയോ ഉൽപ്പന്നത്തിന്റെയോ സേവനത്തിന്റെയോ ആപ്ലിക്കേഷനിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന ഉത്തരവാദിത്തമോ ബാധ്യതയോ Intel ഏറ്റെടുക്കുന്നില്ല. ഏതെങ്കിലും പ്രസിദ്ധീകരിച്ച വിവരങ്ങളെ ആശ്രയിക്കുന്നതിന് മുമ്പും ഉൽപ്പന്നങ്ങൾക്കോ സേവനങ്ങൾക്കോ ഓർഡറുകൾ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സവിശേഷതകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ഇന്റൽ ഉപഭോക്താക്കളോട് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.
ISO 9001:2015 രജിസ്റ്റർ ചെയ്തു
2. LPM_COUNTER (കൗണ്ടർ) IP കോർ
683490 | 2020.10.05
2.2 വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ്
ഇനിപ്പറയുന്ന വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ് വെരിലോഗ് ഡിസൈനിൽ സ്ഥിതിചെയ്യുന്നു File (.v) lpm.v എഡസിന്തസിസ് ഡയറക്ടറി.
മൊഡ്യൂൾ lpm_counter (q, ഡാറ്റ, ക്ലോക്ക്, cin, cout, clk_en, cnt_en, updown, aset, aclr, aload, sset, sclr, sload, eq ); പാരാമീറ്റർ lpm_type = "lpm_counter"; പാരാമീറ്റർ lpm_width = 1; പാരാമീറ്റർ lpm_modulus = 0; പാരാമീറ്റർ lpm_direction = "ഉപയോഗിക്കാത്തത്"; പാരാമീറ്റർ lpm_avalue = "ഉപയോഗിക്കാത്തത്"; പാരാമീറ്റർ lpm_svalue = "ഉപയോഗിക്കാത്തത്"; പാരാമീറ്റർ lpm_pvalue = "ഉപയോഗിക്കാത്തത്"; പാരാമീറ്റർ lpm_port_updown = "PORT_CONNECTIVITY"; പാരാമീറ്റർ lpm_hint = "ഉപയോഗിക്കാത്തത്"; ഔട്ട്പുട്ട് [lpm_width-1:0] q; ഔട്ട്പുട്ട് കട്ട്; ഔട്ട്പുട്ട് [15:0] eq; ഇൻപുട്ട് സിൻ; ഇൻപുട്ട് [lpm_width-1:0] ഡാറ്റ; ഇൻപുട്ട് ക്ലോക്ക്, clk_en, cnt_en, updown; ഇൻപുട്ട് അസറ്റ്, aclr, aload; ഇൻപുട്ട് sset, sclr, സ്ലോഡ്; എൻഡ് മൊഡ്യൂൾ
2.3 VHDL ഘടക പ്രഖ്യാപനം
VHDL ഘടക പ്രഖ്യാപനം VHDL ഡിസൈനിലാണ് File (.vhd) LPM_PACK.vhd ലൈബ്രറികൾvhdllpm ഡയറക്ടറി.
ഘടകം LPM_COUNTER ജനറിക് ( LPM_WIDTH : സ്വാഭാവികം; LPM_MODULUS : സ്വാഭാവികം := 0; LPM_DIRECTION : സ്ട്രിംഗ് := "ഉപയോഗിക്കാത്തത്"; LPM_AVALUE : സ്ട്രിംഗ് := "ഉപയോഗിക്കാത്തത്"; LPM_SVALUE : സ്ട്രിംഗ് := "ഉപയോഗിക്കാത്തത്"; LPM_SVALUE : സ്ട്രിംഗ് := ; LPM_PVALUE : സ്ട്രിംഗ് := "ഉപയോഗിക്കാത്തത്"; LPM_TYPE : സ്ട്രിംഗ് := L_COUNTER; LPM_HINT : സ്ട്രിംഗ് := "ഉപയോഗിക്കാത്തത്"); പോർട്ട് (DATA : std_logic_vector(LPM_WIDTH-1 മുതൽ 0 വരെ):= (OTHERS =>
'0'); ക്ലോക്ക്: std_logic ൽ; CLK_EN : std_logic := '1'; CNT_EN : std_logic := '1'; UPDOWN : std_logic := '1'; SLOAD : std_logic ൽ := '0'; SSET : std_logic := '0'; SCLR : in std_logic := '0'; ALOAD: in std_logic := '0'; ASET : in std_logic := '0'; ACLR : in std_logic := '0'; CIN : std_logic := '1'; COUT : ഔട്ട് std_logic := '0'; Q : ഔട്ട് std_logic_vector(LPM_WIDTH-1 ഡൗൺ ടു 0); EQ : ഔട്ട് std_logic_vector(15 down to 0));
അവസാന ഘടകം;
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 8
ഫീഡ്ബാക്ക് അയയ്ക്കുക
2. LPM_COUNTER (കൗണ്ടർ) IP കോർ 683490 | 2020.10.05
2.4 VHDL LIBRARY_USE പ്രഖ്യാപനം
നിങ്ങൾ VHDL ഘടക പ്രഖ്യാപനം ഉപയോഗിക്കുകയാണെങ്കിൽ VHDL ലൈബ്രറി-ഉപയോഗ പ്രഖ്യാപനം ആവശ്യമില്ല.
ലൈബ്രറി lpm; lpm.lpm_components.all ഉപയോഗിക്കുക;
2.5. തുറമുഖങ്ങൾ
ഇനിപ്പറയുന്ന പട്ടികകൾ LPM_COUNTER IP കോറിനുള്ള ഇൻപുട്ട്, ഔട്ട്പുട്ട് പോർട്ടുകൾ ലിസ്റ്റ് ചെയ്യുന്നു.
പട്ടിക 2.
LPM_COUNTER ഇൻപുട്ട് പോർട്ടുകൾ
പോർട്ട് നാമം
ആവശ്യമാണ്
വിവരണം
ഡാറ്റ[]
ഇല്ല
കൗണ്ടറിലേക്ക് സമാന്തര ഡാറ്റ ഇൻപുട്ട്. ഇൻപുട്ട് പോർട്ടിന്റെ വലുപ്പം LPM_WIDTH പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു.
ക്ലോക്ക്
അതെ
പോസിറ്റീവ് എഡ്ജ്-ട്രിഗർ ചെയ്ത ക്ലോക്ക് ഇൻപുട്ട്.
clk_en
ഇല്ല
എല്ലാ സിൻക്രണസ് പ്രവർത്തനങ്ങളും പ്രവർത്തനക്ഷമമാക്കാൻ ക്ലോക്ക് ഇൻപുട്ട് പ്രവർത്തനക്ഷമമാക്കുന്നു. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം 1 ആണ്.
cnt_en
ഇല്ല
സ്ലോഡ്, sset, അല്ലെങ്കിൽ sclr എന്നിവയെ ബാധിക്കാതെ, കുറവാണെന്ന് ഉറപ്പിക്കുമ്പോൾ എണ്ണം പ്രവർത്തനരഹിതമാക്കാൻ ഇൻപുട്ട് പ്രവർത്തനക്ഷമമാക്കുക. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം 1 ആണ്.
അപ്ഡേറ്റ്
ഇല്ല
എണ്ണത്തിന്റെ ദിശ നിയന്ത്രിക്കുന്നു. ഉയർന്നത് (1) എന്ന് ഉറപ്പിക്കുമ്പോൾ, എണ്ണത്തിന്റെ ദിശ മുകളിലാണ്, താഴ്ന്നത് (0) എന്ന് ഉറപ്പിക്കുമ്പോൾ, എണ്ണത്തിന്റെ ദിശ കുറയുന്നു. LPM_DIRECTION പാരാമീറ്റർ ഉപയോഗിക്കുകയാണെങ്കിൽ, അപ്ഡൗൺ പോർട്ട് ബന്ധിപ്പിക്കാൻ കഴിയില്ല. LPM_DIRECTION ഉപയോഗിക്കുന്നില്ലെങ്കിൽ, അപ്ഡൗൺ പോർട്ട് ഓപ്ഷണലാണ്. ഒഴിവാക്കിയാൽ, ഡിഫോൾട്ട് മൂല്യം ഉയർന്നതാണ് (1).
സിൻ
ഇല്ല
ലോ-ഓർഡർ ബിറ്റിലേക്ക് കൊണ്ടുപോകുക. അപ്പ് കൗണ്ടറുകൾക്ക്, സിൻ ഇൻപുട്ടിന്റെ സ്വഭാവം
cnt_en ഇൻപുട്ടിന്റെ സ്വഭാവത്തിന് സമാനമാണ്. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം 1 ആണ്
(വിസിസി).
aclr
ഇല്ല
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ട്. aset ഉം aclr ഉം ഉപയോഗിക്കുകയും ഉറപ്പിക്കുകയും ചെയ്യുന്നുവെങ്കിൽ, aclr അസറ്റിനെ അസാധുവാക്കുന്നു. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം 0 ആണ് (അപ്രാപ്തമാക്കി).
അസറ്റ്
ഇല്ല
അസിൻക്രണസ് സെറ്റ് ഇൻപുട്ട്. q[] ഔട്ട്പുട്ടുകൾ എല്ലാ 1s ആയി വ്യക്തമാക്കുന്നു, അല്ലെങ്കിൽ LPM_AVALUE പാരാമീറ്റർ വ്യക്തമാക്കിയ മൂല്യത്തിലേക്ക്. അസറ്റ്, aclr പോർട്ടുകൾ ഉപയോഗിക്കുകയും ഉറപ്പിക്കുകയും ചെയ്താൽ, aclr പോർട്ടിന്റെ മൂല്യം അസറ്റ് പോർട്ടിന്റെ മൂല്യത്തെ മറികടക്കുന്നു. ഒഴിവാക്കിയാൽ, ഡിഫോൾട്ട് മൂല്യം 0 ആണ്, പ്രവർത്തനരഹിതമാക്കി.
ലോഡ് ചെയ്യുക
ഇല്ല
ഡാറ്റ ഇൻപുട്ടിലെ മൂല്യവുമായി കൌണ്ടറിനെ അസമന്വിതമായി ലോഡ് ചെയ്യുന്ന അസിൻക്രണസ് ലോഡ് ഇൻപുട്ട്. അലോഡ് പോർട്ട് ഉപയോഗിക്കുമ്പോൾ, ഡാറ്റ[] പോർട്ട് ബന്ധിപ്പിച്ചിരിക്കണം. ഒഴിവാക്കിയാൽ, ഡിഫോൾട്ട് മൂല്യം 0 ആണ്, പ്രവർത്തനരഹിതമാക്കി.
sclr
ഇല്ല
അടുത്ത സജീവ ക്ലോക്ക് എഡ്ജിലെ കൗണ്ടർ മായ്ക്കുന്ന സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ട്. sset, sclr പോർട്ടുകൾ ഉപയോഗിക്കുകയും ഉറപ്പിക്കുകയും ചെയ്താൽ, sclr പോർട്ടിന്റെ മൂല്യം sset പോർട്ടിന്റെ മൂല്യത്തെ മറികടക്കുന്നു. ഒഴിവാക്കിയാൽ, ഡിഫോൾട്ട് മൂല്യം 0 ആണ്, പ്രവർത്തനരഹിതമാക്കി.
സെറ്റ്
ഇല്ല
അടുത്ത സജീവ ക്ലോക്ക് എഡ്ജിൽ കൗണ്ടറിനെ സജ്ജമാക്കുന്ന സിൻക്രണസ് സെറ്റ് ഇൻപുട്ട്. q ഔട്ട്പുട്ടുകളുടെ മൂല്യം എല്ലാ 1s ആയി വ്യക്തമാക്കുന്നു, അല്ലെങ്കിൽ LPM_SVALUE പാരാമീറ്റർ വ്യക്തമാക്കിയ മൂല്യത്തിലേക്ക്. sset, sclr പോർട്ടുകൾ ഉപയോഗിക്കുകയും ഉറപ്പിക്കുകയും ചെയ്താൽ,
sclr പോർട്ടിന്റെ മൂല്യം sset പോർട്ടിന്റെ മൂല്യത്തെ മറികടക്കുന്നു. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം 0 ആണ് (അപ്രാപ്തമാക്കി).
സ്ലോഡ്
ഇല്ല
അടുത്ത സജീവ ക്ലോക്ക് എഡ്ജിൽ ഡാറ്റ[] ഉപയോഗിച്ച് കൗണ്ടർ ലോഡ് ചെയ്യുന്ന സിൻക്രണസ് ലോഡ് ഇൻപുട്ട്. സ്ലോഡ് പോർട്ട് ഉപയോഗിക്കുമ്പോൾ, ഡാറ്റ[] പോർട്ട് ബന്ധിപ്പിച്ചിരിക്കണം. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം 0 ആണ് (അപ്രാപ്തമാക്കി).
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 9
2. LPM_COUNTER (കൗണ്ടർ) IP കോർ 683490 | 2020.10.05
പട്ടിക 3.
LPM_COUNTER ഔട്ട്പുട്ട് പോർട്ടുകൾ
പോർട്ട് നാമം
ആവശ്യമാണ്
വിവരണം
ക്യു[]
ഇല്ല
കൗണ്ടറിൽ നിന്നുള്ള ഡാറ്റ ഔട്ട്പുട്ട്. ഔട്ട്പുട്ട് പോർട്ടിന്റെ വലുപ്പം ഇതിനെ ആശ്രയിച്ചിരിക്കുന്നു
LPM_WIDTH പാരാമീറ്റർ മൂല്യം. ഒന്നുകിൽ q[] അല്ലെങ്കിൽ കുറഞ്ഞത് eq[15..0] പോർട്ടുകളിൽ ഒന്ന്
കണക്റ്റുചെയ്തിരിക്കണം.
eq[15..0]
ഇല്ല
കൌണ്ടർ ഡീകോഡ് ഔട്ട്പുട്ട്. പാരാമീറ്റർ എഡിറ്ററിൽ eq[15..0] പോർട്ട് ആക്സസ് ചെയ്യാൻ കഴിയില്ല, കാരണം പരാമീറ്റർ AHDL-നെ മാത്രമേ പിന്തുണയ്ക്കൂ.
ഒന്നുകിൽ q[] പോർട്ട് അല്ലെങ്കിൽ eq[] പോർട്ട് ബന്ധിപ്പിച്ചിരിക്കണം. c eq പോർട്ടുകൾ വരെ ഉപയോഗിക്കാം (0 <= c <= 15). ഏറ്റവും കുറഞ്ഞ 16 എണ്ണം മൂല്യങ്ങൾ മാത്രമേ ഡീകോഡ് ചെയ്തിട്ടുള്ളൂ. കൗണ്ട് മൂല്യം c ആയിരിക്കുമ്പോൾ, eqc ഔട്ട്പുട്ട് ഉയർന്നതാണ് (1). ഉദാample, എണ്ണം 0 ആയിരിക്കുമ്പോൾ, eq0 = 1, എണ്ണം 1 ആയിരിക്കുമ്പോൾ, eq1 = 1, എണ്ണം 15 ആയിരിക്കുമ്പോൾ, eq 15 = 1. 16 അല്ലെങ്കിൽ അതിൽ കൂടുതലുള്ള കൗണ്ട് മൂല്യങ്ങൾക്കായി ഡീകോഡ് ചെയ്ത ഔട്ട്പുട്ടിന് ബാഹ്യ ഡീകോഡിംഗ് ആവശ്യമാണ്. eq[15..0] ഔട്ട്പുട്ടുകൾ q[] ഔട്ട്പുട്ടുമായി അസമന്വിതമാണ്.
കട്ട്
ഇല്ല
കൗണ്ടറിന്റെ MSB ബിറ്റിന്റെ കാരി-ഔട്ട് പോർട്ട്. ഒരു വലിയ കൌണ്ടർ സൃഷ്ടിക്കാൻ മറ്റൊരു കൗണ്ടറുമായി ബന്ധിപ്പിക്കാൻ ഇത് ഉപയോഗിക്കാം.
2.6. പാരാമീറ്ററുകൾ
ഇനിപ്പറയുന്ന പട്ടിക LPM_COUNTER IP കോറിന്റെ പാരാമീറ്ററുകൾ ലിസ്റ്റ് ചെയ്യുന്നു.
പട്ടിക 4.
LPM_COUNTER പാരാമീറ്ററുകൾ
പാരാമീറ്ററിൻ്റെ പേര്
ടൈപ്പ് ചെയ്യുക
LPM_WIDTH
പൂർണ്ണസംഖ്യ
LPM_DIRECTION
സ്ട്രിംഗ്
LPM_MODULUS LPM_AVALUE
പൂർണ്ണസംഖ്യ
പൂർണ്ണസംഖ്യ/ സ്ട്രിംഗ്
LPM_SVALUE LPM_HINT
പൂർണ്ണസംഖ്യ/ സ്ട്രിംഗ്
സ്ട്രിംഗ്
LPM_TYPE
സ്ട്രിംഗ്
ആവശ്യമാണ് അതെ ഇല്ല ഇല്ല ഇല്ല
ഇല്ല ഇല്ല
ഇല്ല
വിവരണം
ഡാറ്റ[], q[] പോർട്ടുകൾ ഉപയോഗിക്കുകയാണെങ്കിൽ അവയുടെ വീതി വ്യക്തമാക്കുന്നു.
മൂല്യങ്ങൾ UP, DOWN, Unused എന്നിവയാണ്. LPM_DIRECTION പാരാമീറ്റർ ഉപയോഗിക്കുകയാണെങ്കിൽ, അപ്ഡൗൺ പോർട്ട് ബന്ധിപ്പിക്കാൻ കഴിയില്ല. അപ്ഡൗൺ പോർട്ട് കണക്റ്റ് ചെയ്യാത്തപ്പോൾ, LPM_DIRECTION പാരാമീറ്റർ ഡിഫോൾട്ട് മൂല്യം UP ആണ്.
പരമാവധി എണ്ണം, പ്ലസ് വൺ. കൗണ്ടറിന്റെ സൈക്കിളിലെ തനതായ അവസ്ഥകളുടെ എണ്ണം. ലോഡ് മൂല്യം LPM_MODULUS പരാമീറ്ററിനേക്കാൾ വലുതാണെങ്കിൽ, കൗണ്ടറിന്റെ സ്വഭാവം വ്യക്തമാക്കിയിട്ടില്ല.
അസറ്റ് ഉയർന്നതായി ഉറപ്പിക്കുമ്പോൾ ലോഡ് ചെയ്യുന്ന സ്ഥിരമായ മൂല്യം. വ്യക്തമാക്കിയ മൂല്യം അതിലും വലുതോ തുല്യമോ ആണെങ്കിൽ , കൌണ്ടറിന്റെ സ്വഭാവം നിർവചിക്കാത്ത (X) ലോജിക് ലെവലാണ്, എവിടെയാണ് LPM_MODULUS ആണെങ്കിൽ, അല്ലെങ്കിൽ 2 ^ LPM_WIDTH. AHDL ഡിസൈനുകൾക്കായി ഈ മൂല്യം ഒരു ദശാംശ സംഖ്യയായി വ്യക്തമാക്കാൻ ഇന്റൽ ശുപാർശ ചെയ്യുന്നു.
സെറ്റ് പോർട്ട് ഉയർന്നതാണെന്ന് ഉറപ്പിക്കുമ്പോൾ ക്ലോക്ക് പോർട്ടിന്റെ റൈസിംഗ് എഡ്ജിൽ ലോഡ് ചെയ്യുന്ന സ്ഥിരമായ മൂല്യം. AHDL ഡിസൈനുകൾക്കായി ഈ മൂല്യം ഒരു ദശാംശ സംഖ്യയായി വ്യക്തമാക്കാൻ ഇന്റൽ ശുപാർശ ചെയ്യുന്നു.
നിങ്ങൾ ഒരു VHDL ഡിസൈനിൽ പാരാമീറ്ററൈസ്ഡ് മൊഡ്യൂളുകളുടെ (LPM) ഫംഗ്ഷന്റെ ഒരു ലൈബ്രറി തൽക്ഷണം ചെയ്യുമ്പോൾ File (.vhd), ഒരു ഇന്റൽ-നിർദ്ദിഷ്ട പാരാമീറ്റർ വ്യക്തമാക്കുന്നതിന് നിങ്ങൾ LPM_HINT പാരാമീറ്റർ ഉപയോഗിക്കണം. ഉദാample: LPM_HINT = “CHAIN_SIZE = 8, ONE_INPUT_IS_CONSTANT = അതെ”
സ്ഥിര മൂല്യം UNUSED ആണ്.
VHDL ഡിസൈനിലെ പാരാമീറ്ററൈസ്ഡ് മൊഡ്യൂളുകളുടെ (LPM) എന്റിറ്റി നാമത്തിന്റെ ലൈബ്രറി തിരിച്ചറിയുന്നു files.
തുടർന്നു…
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 10
ഫീഡ്ബാക്ക് അയയ്ക്കുക
2. LPM_COUNTER (കൗണ്ടർ) IP കോർ 683490 | 2020.10.05
പാരാമീറ്ററിന്റെ പേര് INTENDED_DEVICE_FAMILY CARRY_CNT_EN
LABWIDE_SCLR
LPM_PORT_UPDOWN
സ്ട്രിംഗ് സ്ട്രിംഗ് എന്ന് ടൈപ്പ് ചെയ്യുക
സ്ട്രിംഗ്
സ്ട്രിംഗ്
ആവശ്യമായ No No
ഇല്ല
ഇല്ല
വിവരണം
ഈ പരാമീറ്റർ മോഡലിംഗ്, ബിഹേവിയറൽ സിമുലേഷൻ ആവശ്യങ്ങൾക്കായി ഉപയോഗിക്കുന്നു. ഈ പരാമീറ്റർ മോഡലിംഗ്, ബിഹേവിയറൽ സിമുലേഷൻ ആവശ്യങ്ങൾക്കായി ഉപയോഗിക്കുന്നു. പാരാമീറ്റർ എഡിറ്റർ ഈ പരാമീറ്ററിന്റെ മൂല്യം കണക്കാക്കുന്നു.
ഇന്റൽ-നിർദ്ദിഷ്ട പാരാമീറ്റർ. VHDL ഡിസൈനിലെ CARRY_CNT_EN പാരാമീറ്റർ വ്യക്തമാക്കാൻ നിങ്ങൾ LPM_HINT പാരാമീറ്റർ ഉപയോഗിക്കണം fileഎസ്. മൂല്യങ്ങൾ സ്മാർട്ട്, ഓൺ, ഓഫ്, ഉപയോഗിക്കാത്തവ എന്നിവയാണ്. കാരി ചെയിൻ വഴി cnt_en സിഗ്നൽ പ്രചരിപ്പിക്കുന്നതിന് LPM_COUNTER ഫംഗ്ഷൻ പ്രവർത്തനക്ഷമമാക്കുന്നു. ചില സാഹചര്യങ്ങളിൽ, CARRY_CNT_EN പാരാമീറ്റർ ക്രമീകരണം വേഗതയിൽ നേരിയ സ്വാധീനം ചെലുത്തിയേക്കാം, അതിനാൽ നിങ്ങൾ അത് ഓഫാക്കേണ്ടി വന്നേക്കാം. സ്ഥിര മൂല്യം SMART ആണ്, ഇത് വലുപ്പവും വേഗതയും തമ്മിലുള്ള മികച്ച വ്യാപാരം നൽകുന്നു.
ഇന്റൽ-നിർദ്ദിഷ്ട പാരാമീറ്റർ. VHDL ഡിസൈനിലെ LABWIDE_SCLR പാരാമീറ്റർ വ്യക്തമാക്കാൻ നിങ്ങൾ LPM_HINT പാരാമീറ്റർ ഉപയോഗിക്കണം fileഎസ്. മൂല്യങ്ങൾ ഓണാണ്, ഓഫാണ് അല്ലെങ്കിൽ ഉപയോഗിക്കാത്തതാണ്. സ്ഥിര മൂല്യം ഓണാണ്. കാലഹരണപ്പെട്ട ഉപകരണ കുടുംബങ്ങളിൽ കാണപ്പെടുന്ന LABwide sclr സവിശേഷതയുടെ ഉപയോഗം പ്രവർത്തനരഹിതമാക്കാൻ നിങ്ങളെ അനുവദിക്കുന്നു. ഈ ഓപ്ഷൻ ഓഫാക്കുന്നത് ഭാഗികമായി പൂരിപ്പിച്ച LAB-കൾ പൂർണ്ണമായി ഉപയോഗിക്കാനുള്ള സാധ്യത വർദ്ധിപ്പിക്കുന്നു, അങ്ങനെ SCLR ഒരു സമ്പൂർണ്ണ LAB-ന് ബാധകമല്ലാത്തപ്പോൾ ഉയർന്ന ലോജിക് ഡെൻസിറ്റി അനുവദിച്ചേക്കാം. ഈ പാരാമീറ്റർ ബാക്ക്വേർഡ് കോംപാറ്റിബിളിറ്റിക്ക് ലഭ്യമാണ്, ഈ പരാമീറ്റർ ഉപയോഗിക്കരുതെന്ന് ഇന്റൽ നിർദ്ദേശിക്കുന്നു.
അപ്ഡൗൺ ഇൻപുട്ട് പോർട്ടിന്റെ ഉപയോഗം വ്യക്തമാക്കുന്നു. ഒഴിവാക്കിയാൽ ഡിഫോൾട്ട് മൂല്യം PORT_CONNECTIVITY ആണ്. പോർട്ട് മൂല്യം PORT_USED ആയി സജ്ജീകരിക്കുമ്പോൾ, പോർട്ട് ഉപയോഗിച്ചതായി കണക്കാക്കും. പോർട്ട് മൂല്യം PORT_UNUSED ആയി സജ്ജീകരിക്കുമ്പോൾ, പോർട്ട് ഉപയോഗിക്കാത്തതായി കണക്കാക്കും. പോർട്ട് മൂല്യം PORT_CONNECTIVITY ആയി സജ്ജീകരിക്കുമ്പോൾ, പോർട്ട് കണക്റ്റിവിറ്റി പരിശോധിച്ചാണ് പോർട്ട് ഉപയോഗം നിർണ്ണയിക്കുന്നത്.
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 11
683490 | 2020.10.05 ഫീഡ്ബാക്ക് അയയ്ക്കുക
3. LPM_DIVIDE (ഡിവൈഡർ) Intel FPGA IP കോർ
ചിത്രം 2.
LPM_DIVIDE Intel FPGA IP കോർ ഒരു ന്യൂമറേറ്റർ ഇൻപുട്ട് മൂല്യത്തെ ഒരു ഡിനോമിനേറ്റർ ഇൻപുട്ട് മൂല്യം കൊണ്ട് ഹരിച്ച് ഒരു ഘടകവും ബാക്കിയുള്ളവയും ഉണ്ടാക്കാൻ ഒരു ഡിവൈഡർ നടപ്പിലാക്കുന്നു.
ഇനിപ്പറയുന്ന ചിത്രം LPM_DIVIDE IP കോറിനുള്ള പോർട്ടുകൾ കാണിക്കുന്നു.
LPM_DIVIDE പോർട്ടുകൾ
LPM_DIVIDE
സംഖ്യ[] denom[] ക്ലോക്ക്
ഭാഗം[] അവശേഷിക്കുന്നു[]
ക്ലെൻ aclr
inst
3.1. സവിശേഷതകൾ
LPM_DIVIDE IP കോർ ഇനിപ്പറയുന്ന സവിശേഷതകൾ വാഗ്ദാനം ചെയ്യുന്നു: · ഒരു ന്യൂമറേറ്റർ ഇൻപുട്ട് മൂല്യത്തെ ഒരു ഡിനോമിനേറ്റർ ഇൻപുട്ട് കൊണ്ട് ഹരിക്കുന്ന ഒരു ഡിവൈഡർ സൃഷ്ടിക്കുന്നു
ഒരു ഘടകവും ശേഷിപ്പും ഉണ്ടാക്കുന്നതിനുള്ള മൂല്യം. · 1 ബിറ്റുകളുടെ ഡാറ്റ വീതിയെ പിന്തുണയ്ക്കുന്നു. · രണ്ട് ന്യൂമറേറ്ററിനും ഒപ്പിട്ടതും ഒപ്പിടാത്തതുമായ ഡാറ്റാ പ്രാതിനിധ്യ ഫോർമാറ്റിനെ പിന്തുണയ്ക്കുന്നു
ഡിനോമിനേറ്റർ മൂല്യങ്ങളും. · ഏരിയ അല്ലെങ്കിൽ സ്പീഡ് ഒപ്റ്റിമൈസേഷൻ പിന്തുണയ്ക്കുന്നു. · പോസിറ്റീവ് ബാക്കിയുള്ള ഔട്ട്പുട്ട് വ്യക്തമാക്കുന്നതിനുള്ള ഒരു ഓപ്ഷൻ നൽകുന്നു. പൈപ്പ്ലൈനിംഗ് കോൺഫിഗർ ചെയ്യാവുന്ന ഔട്ട്പുട്ട് ലേറ്റൻസിയെ പിന്തുണയ്ക്കുന്നു. · ഓപ്ഷണൽ അസിൻക്രണസ് ക്ലിയറും ക്ലോക്ക് എനേബിൾ പോർട്ടുകളും പിന്തുണയ്ക്കുന്നു.
3.2 വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ്
ഇനിപ്പറയുന്ന വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ് വെരിലോഗ് ഡിസൈനിൽ സ്ഥിതിചെയ്യുന്നു File (.v) lpm.v എഡസിന്തസിസ് ഡയറക്ടറി.
മൊഡ്യൂൾ lpm_divide ( quotient, തുടരുക, സംഖ്യ, denom, ക്ലോക്ക്, clken, aclr); പാരാമീറ്റർ lpm_type = "lpm_divide"; പാരാമീറ്റർ lpm_widthn = 1; പാരാമീറ്റർ lpm_widthd = 1; പാരാമീറ്റർ lpm_nrepresentation = "UNSIGNED"; പാരാമീറ്റർ lpm_drepresentation = "UNSIGNED"; പാരാമീറ്റർ lpm_remainderpositive = "TRUE"; പാരാമീറ്റർ lpm_pipeline = 0;
ഇന്റൽ കോർപ്പറേഷൻ. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം. ഇന്റൽ, ഇന്റൽ ലോഗോ, മറ്റ് ഇന്റൽ മാർക്കുകൾ എന്നിവ ഇന്റൽ കോർപ്പറേഷന്റെയോ അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളുടെയോ വ്യാപാരമുദ്രകളാണ്. ഇന്റലിന്റെ സ്റ്റാൻഡേർഡ് വാറന്റിക്ക് അനുസൃതമായി അതിന്റെ FPGA, അർദ്ധചാലക ഉൽപ്പന്നങ്ങളുടെ പ്രകടനം നിലവിലെ സ്പെസിഫിക്കേഷനുകളിലേക്ക് Intel വാറന്റ് ചെയ്യുന്നു, എന്നാൽ അറിയിപ്പ് കൂടാതെ ഏത് സമയത്തും ഏത് ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം നിക്ഷിപ്തമാണ്. Intel രേഖാമൂലം രേഖാമൂലം സമ്മതിച്ചതല്ലാതെ ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങളുടെയോ ഉൽപ്പന്നത്തിന്റെയോ സേവനത്തിന്റെയോ ആപ്ലിക്കേഷനിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന ഉത്തരവാദിത്തമോ ബാധ്യതയോ Intel ഏറ്റെടുക്കുന്നില്ല. ഏതെങ്കിലും പ്രസിദ്ധീകരിച്ച വിവരങ്ങളെ ആശ്രയിക്കുന്നതിന് മുമ്പും ഉൽപ്പന്നങ്ങൾക്കോ സേവനങ്ങൾക്കോ ഓർഡറുകൾ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സവിശേഷതകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ഇന്റൽ ഉപഭോക്താക്കളോട് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.
ISO 9001:2015 രജിസ്റ്റർ ചെയ്തു
3. LPM_DIVIDE (ഡിവൈഡർ) ഇന്റൽ FPGA IP കോർ 683490 | 2020.10.05
പാരാമീറ്റർ lpm_hint = "ഉപയോഗിക്കാത്തത്"; ഇൻപുട്ട് ക്ലോക്ക്; ഇൻപുട്ട് ക്ലെൻ; ഇൻപുട്ട് aclr; ഇൻപുട്ട് [lpm_widthn-1:0] സംഖ്യ; ഇൻപുട്ട് [lpm_widthd-1:0] denom; ഔട്ട്പുട്ട് [lpm_widthn-1:0] quotient; ഔട്ട്പുട്ട് [lpm_widthd-1:0] അവശേഷിക്കുന്നു; എൻഡ് മൊഡ്യൂൾ
3.3 VHDL ഘടക പ്രഖ്യാപനം
VHDL ഘടക പ്രഖ്യാപനം VHDL ഡിസൈനിലാണ് File (.vhd) LPM_PACK.vhd ലൈബ്രറികൾvhdllpm ഡയറക്ടറി.
ഘടകം LPM_DIVIDE ജനറിക് (LPM_WIDTHN : സ്വാഭാവികം; LPM_WIDTHD : സ്വാഭാവികം;
LPM_NREPRESENTATION : സ്ട്രിംഗ് := “അൺസൈൻ ചെയ്യാത്തത്”; LPM_DREPRESENTATION : സ്ട്രിംഗ് := “അൺസൈൻ ചെയ്യാത്തത്”; LPM_PIPELINE : സ്വാഭാവികം := 0; LPM_TYPE : സ്ട്രിംഗ് := L_DIVIDE; LPM_HINT : സ്ട്രിംഗ് := “ഉപയോഗിക്കാത്തത്”); പോർട്ട് (NUMER : std_logic_vector-ൽ (LPM_WIDTHN-1 ഡൗൺ ടു 0); DENOM : std_logic_vector (LPM_WIDTHD-1 ഡൗൺ ടു 0); ACLR: std_logic:= '0'; CLOCK : stdlogic-ൽ:= 'KDEN_logic; := '0'; QUOTIENT : ഔട്ട് std_logic_vector(LPM_WIDTHN-1 ഡൗൺ ടു 1); REMAIN : ഔട്ട് std_logic_vector(LPM_WIDTHD-0 ഡൗൺ ടു 1)); അവസാന ഘടകം;
3.4 VHDL LIBRARY_USE പ്രഖ്യാപനം
നിങ്ങൾ VHDL ഘടക പ്രഖ്യാപനം ഉപയോഗിക്കുകയാണെങ്കിൽ VHDL ലൈബ്രറി-ഉപയോഗ പ്രഖ്യാപനം ആവശ്യമില്ല.
ലൈബ്രറി lpm; lpm.lpm_components.all ഉപയോഗിക്കുക;
3.5. തുറമുഖങ്ങൾ
ഇനിപ്പറയുന്ന പട്ടികകൾ LPM_DIVIDE IP കോറിനുള്ള ഇൻപുട്ട്, ഔട്ട്പുട്ട് പോർട്ടുകൾ ലിസ്റ്റ് ചെയ്യുന്നു.
പട്ടിക 5.
LPM_DIVIDE ഇൻപുട്ട് പോർട്ടുകൾ
പോർട്ട് നാമം
ആവശ്യമാണ്
സംഖ്യ[]
അതെ
മതം[]
അതെ
വിവരണം
ന്യൂമറേറ്റർ ഡാറ്റ ഇൻപുട്ട്. ഇൻപുട്ട് പോർട്ടിന്റെ വലുപ്പം LPM_WIDTHN പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു.
ഡിനോമിനേറ്റർ ഡാറ്റ ഇൻപുട്ട്. ഇൻപുട്ട് പോർട്ടിന്റെ വലുപ്പം LPM_WIDTHD പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു.
തുടർന്നു…
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 13
3. LPM_DIVIDE (ഡിവൈഡർ) ഇന്റൽ FPGA IP കോർ 683490 | 2020.10.05
തുറമുഖത്തിന്റെ പേര് ക്ലോക്ക് ക്ലെൻ
aclr
ആവശ്യമായ No No
ഇല്ല
വിവരണം
പൈപ്പ്ലൈൻ ഉപയോഗത്തിനുള്ള ക്ലോക്ക് ഇൻപുട്ട്. 0 ഒഴികെയുള്ള LPM_PIPELINE മൂല്യങ്ങൾക്ക് (സ്ഥിരസ്ഥിതി), ക്ലോക്ക് പോർട്ട് പ്രവർത്തനക്ഷമമാക്കിയിരിക്കണം.
ക്ലോക്ക് പൈപ്പ്ലൈൻ ഉപയോഗം പ്രവർത്തനക്ഷമമാക്കുന്നു. ക്ലെൻ പോർട്ട് ഉയർന്നതാണെന്ന് ഉറപ്പിക്കുമ്പോൾ, ഡിവിഷൻ പ്രവർത്തനം നടക്കുന്നു. സിഗ്നൽ കുറവാണെങ്കിൽ, ഒരു പ്രവർത്തനവും സംഭവിക്കുന്നില്ല. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം 1 ആണ്.
ക്ലോക്ക് ഇൻപുട്ടിലേക്ക് അസമന്വിതമായി എല്ലാ '0'കളിലേക്കും പൈപ്പ്ലൈൻ പുനഃസജ്ജമാക്കാൻ ഏത് സമയത്തും അസിൻക്രണസ് ക്ലിയർ പോർട്ട് ഉപയോഗിക്കുന്നു.
പട്ടിക 6.
LPM_DIVIDE ഔട്ട്പുട്ട് പോർട്ടുകൾ
പോർട്ട് നാമം
ആവശ്യമാണ്
വിവരണം
ഘടകാംശം[]
അതെ
ഡാറ്റ ഔട്ട്പുട്ട്. ഔട്ട്പുട്ട് പോർട്ടിന്റെ വലുപ്പം LPM_WIDTHN-നെ ആശ്രയിച്ചിരിക്കുന്നു
പാരാമീറ്റർ മൂല്യം.
അവശേഷിക്കുന്നു[]
അതെ
ഡാറ്റ ഔട്ട്പുട്ട്. ഔട്ട്പുട്ട് പോർട്ടിന്റെ വലുപ്പം LPM_WIDTHD-യെ ആശ്രയിച്ചിരിക്കുന്നു
പാരാമീറ്റർ മൂല്യം.
3.6. പാരാമീറ്ററുകൾ
ഇനിപ്പറയുന്ന പട്ടിക LPM_DIVIDE Intel FPGA IP കോറിനുള്ള പാരാമീറ്ററുകൾ പട്ടികപ്പെടുത്തുന്നു.
പാരാമീറ്ററിൻ്റെ പേര്
ടൈപ്പ് ചെയ്യുക
ആവശ്യമാണ്
വിവരണം
LPM_WIDTHN
പൂർണ്ണസംഖ്യ
അതെ
സംഖ്യയുടെ വീതി വ്യക്തമാക്കുന്നു[] ഒപ്പം
quotient[] പോർട്ടുകൾ. മൂല്യങ്ങൾ 1 മുതൽ 64 വരെയാണ്.
LPM_WIDTHD
പൂർണ്ണസംഖ്യ
അതെ
ഡെനോമിന്റെ വീതിയും [] എന്നിവയും വ്യക്തമാക്കുന്നു
തുറമുഖങ്ങൾ അവശേഷിക്കുന്നു. മൂല്യങ്ങൾ 1 മുതൽ 64 വരെയാണ്.
LPM_NREPRESENTATION LPM_DREPRESENTATION
സ്ട്രിംഗ് സ്ട്രിംഗ്
ഇല്ല
ന്യൂമറേറ്റർ ഇൻപുട്ടിന്റെ അടയാള പ്രാതിനിധ്യം.
മൂല്യങ്ങൾ ഒപ്പിട്ടതും അൺസൈൻ ചെയ്യാത്തതുമാണ്. ഇത് എപ്പോൾ
പാരാമീറ്റർ ഡിവൈഡറായ SIGNED ആയി സജ്ജീകരിച്ചിരിക്കുന്നു
സംഖ്യ[] ഇൻപുട്ട് ഒപ്പിട്ട രണ്ടായി വ്യാഖ്യാനിക്കുന്നു
പൂരകം.
ഇല്ല
ഡിനോമിനേറ്റർ ഇൻപുട്ടിന്റെ അടയാള പ്രാതിനിധ്യം.
മൂല്യങ്ങൾ ഒപ്പിട്ടതും അൺസൈൻ ചെയ്യാത്തതുമാണ്. ഇത് എപ്പോൾ
പാരാമീറ്റർ ഡിവൈഡറായ SIGNED ആയി സജ്ജീകരിച്ചിരിക്കുന്നു
ഡെനോം[] ഇൻപുട്ട് ഒപ്പിട്ട രണ്ടായി വ്യാഖ്യാനിക്കുന്നു
പൂരകം.
LPM_TYPE
സ്ട്രിംഗ്
ഇല്ല
പാരാമീറ്റർ ചെയ്ത ലൈബ്രറി തിരിച്ചറിയുന്നു
VHDL ഡിസൈനിലെ മൊഡ്യൂളുകൾ (LPM) എന്റിറ്റിയുടെ പേര്
files (.vhd).
LPM_HINT
സ്ട്രിംഗ്
ഇല്ല
നിങ്ങൾ ഒരു ലൈബ്രറി തൽക്ഷണം ചെയ്യുമ്പോൾ
പാരാമീറ്ററൈസ്ഡ് മൊഡ്യൂളുകൾ (LPM) ഫംഗ്ഷൻ a
വിഎച്ച്ഡിഎൽ ഡിസൈൻ File (.vhd), നിങ്ങൾ ഉപയോഗിക്കണം
ഒരു Intel- വ്യക്തമാക്കുന്നതിനുള്ള LPM_HINT പാരാമീറ്റർ
നിർദ്ദിഷ്ട പരാമീറ്റർ. ഉദാampലെ: LPM_HINT
= “CHAIN_SIZE = 8,
ONE_INPUT_IS_CONSTANT = അതെ” ദി
സ്ഥിര മൂല്യം ഉപയോഗിക്കാത്തതാണ്.
LPM_REMAINDERPOSITIVE
സ്ട്രിംഗ്
ഇല്ല
ഇന്റൽ-നിർദ്ദിഷ്ട പാരാമീറ്റർ. നിങ്ങൾ ഉപയോഗിക്കണം
വ്യക്തമാക്കാൻ LPM_HINT പാരാമീറ്റർ
LPM_REMAINDERPOSITIVE പാരാമീറ്റർ ഇൻ
വിഎച്ച്ഡിഎൽ ഡിസൈൻ fileഎസ്. മൂല്യങ്ങൾ ശരിയോ തെറ്റോ ആണ്.
ഈ പരാമീറ്റർ TRUE ആയി സജ്ജീകരിച്ചിട്ടുണ്ടെങ്കിൽ, പിന്നെ
ശേഷിക്കുന്ന[] പോർട്ടിന്റെ മൂല്യം കൂടുതലായിരിക്കണം
തുടർന്നു…
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 14
ഫീഡ്ബാക്ക് അയയ്ക്കുക
3. LPM_DIVIDE (ഡിവൈഡർ) ഇന്റൽ FPGA IP കോർ 683490 | 2020.10.05
പാരാമീറ്ററിൻ്റെ പേര്
ടൈപ്പ് ചെയ്യുക
MAXIMIZE_SPEED
പൂർണ്ണസംഖ്യ
LPM_PIPELINE
പൂർണ്ണസംഖ്യ
INTENDED_DEVICE_FAMILY SKIP_BITS
സ്ട്രിംഗ് പൂർണ്ണസംഖ്യ
ആവശ്യമായ നമ്പർ
ഇല്ല ഇല്ല ഇല്ല
വിവരണം
പൂജ്യത്തേക്കാൾ അല്ലെങ്കിൽ തുല്യം. ഈ പരാമീറ്റർ TRUE എന്ന് സജ്ജീകരിച്ചിട്ടുണ്ടെങ്കിൽ, ശേഷിക്കുന്ന[] പോർട്ടിന്റെ മൂല്യം ഒന്നുകിൽ പൂജ്യമാണ്, അല്ലെങ്കിൽ മൂല്യം സംഖ്യാ പോർട്ടിന്റെ മൂല്യം പോസിറ്റീവ് അല്ലെങ്കിൽ നെഗറ്റീവ് ആയ അതേ ചിഹ്നമാണ്. വിസ്തീർണ്ണം കുറയ്ക്കുന്നതിനും വേഗത മെച്ചപ്പെടുത്തുന്നതിനും, ബാക്കിയുള്ളത് പോസിറ്റീവ് ആയിരിക്കേണ്ട അല്ലെങ്കിൽ ബാക്കിയുള്ളത് അപ്രധാനമായ പ്രവർത്തനങ്ങളിൽ ഈ പരാമീറ്റർ TRUE ആയി സജ്ജീകരിക്കാൻ ഇന്റൽ ശുപാർശ ചെയ്യുന്നു.
ഇന്റൽ-നിർദ്ദിഷ്ട പാരാമീറ്റർ. VHDL ഡിസൈനിലെ MAXIMIZE_SPEED പാരാമീറ്റർ വ്യക്തമാക്കാൻ നിങ്ങൾ LPM_HINT പാരാമീറ്റർ ഉപയോഗിക്കണം fileഎസ്. മൂല്യങ്ങൾ [0..9] ആണ്. ഉപയോഗിക്കുകയാണെങ്കിൽ, ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയർ LPM_DIVIDE ഫംഗ്ഷന്റെ ഒരു നിർദ്ദിഷ്ട ഉദാഹരണം റൂട്ടബിലിറ്റിക്ക് പകരം സ്പീഡിനായി ഒപ്റ്റിമൈസ് ചെയ്യാൻ ശ്രമിക്കുന്നു, കൂടാതെ ഒപ്റ്റിമൈസേഷൻ ടെക്നിക് ലോജിക് ഓപ്ഷന്റെ ക്രമീകരണം അസാധുവാക്കുന്നു. MAXIMIZE_SPEED ഉപയോഗിച്ചിട്ടില്ലെങ്കിൽ, പകരം ഒപ്റ്റിമൈസേഷൻ ടെക്നിക് ഓപ്ഷന്റെ മൂല്യം ഉപയോഗിക്കും. MAXIMIZE_SPEED ന്റെ മൂല്യം 6 അല്ലെങ്കിൽ അതിൽ കൂടുതലാണെങ്കിൽ, കംപൈലർ കാരി ചെയിനുകൾ ഉപയോഗിച്ച് ഉയർന്ന വേഗതയ്ക്കായി LPM_DIVIDE IP കോർ ഒപ്റ്റിമൈസ് ചെയ്യുന്നു; മൂല്യം 5 അല്ലെങ്കിൽ അതിൽ കുറവാണെങ്കിൽ, കംപൈലർ കാരി ചെയിനുകളില്ലാതെ ഡിസൈൻ നടപ്പിലാക്കുന്നു.
ക്വട്ടേഷൻ[], ശേഷിക്കുന്ന[] ഔട്ട്പുട്ടുകളുമായി ബന്ധപ്പെട്ട ലേറ്റൻസിയുടെ ക്ലോക്ക് സൈക്കിളുകളുടെ എണ്ണം വ്യക്തമാക്കുന്നു. പൂജ്യത്തിന്റെ (0) മൂല്യം സൂചിപ്പിക്കുന്നത് ലേറ്റൻസി നിലവിലില്ലെന്നും പൂർണ്ണമായും കോമ്പിനേഷൻ ഫംഗ്ഷൻ തൽക്ഷണം നടക്കുന്നുവെന്നും. ഒഴിവാക്കിയാൽ, ഡിഫോൾട്ട് മൂല്യം 0 ആണ് (പൈപ്പ്ലൈൻ ചെയ്യാത്തത്). LPM_WIDTHN-നേക്കാൾ ഉയർന്ന LPM_PIPELINE പാരാമീറ്ററിനായി നിങ്ങൾക്ക് ഒരു മൂല്യം വ്യക്തമാക്കാൻ കഴിയില്ല.
ഈ പരാമീറ്റർ മോഡലിംഗ്, ബിഹേവിയറൽ സിമുലേഷൻ ആവശ്യങ്ങൾക്കായി ഉപയോഗിക്കുന്നു. പാരാമീറ്റർ എഡിറ്റർ ഈ പരാമീറ്ററിന്റെ മൂല്യം കണക്കാക്കുന്നു.
LPM_DIVIDE IP കോറിലേക്ക് ലീഡിംഗ് GND യുടെ എണ്ണം നൽകിക്കൊണ്ട് മുൻനിര ബിറ്റുകളിൽ ലോജിക് ഒപ്റ്റിമൈസ് ചെയ്യുന്നതിന് കൂടുതൽ കാര്യക്ഷമമായ ഫ്രാക്ഷണൽ ബിറ്റ് ഡിവിഷനെ അനുവദിക്കുന്നു. ഈ പരാമീറ്ററിലേക്കുള്ള ക്വോട്ടന്റ് ഔട്ട്പുട്ടിൽ ലീഡിംഗ് GND യുടെ എണ്ണം വ്യക്തമാക്കുക.
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 15
683490 | 2020.10.05 ഫീഡ്ബാക്ക് അയയ്ക്കുക
4. LPM_MULT (മൾട്ടിപ്ലയർ) IP കോർ
ചിത്രം 3.
LPM_MULT IP കോർ ഒരു ഉൽപ്പന്നം ഉൽപ്പാദിപ്പിക്കുന്നതിന് രണ്ട് ഇൻപുട്ട് ഡാറ്റ മൂല്യങ്ങൾ ഗുണിക്കുന്നതിന് ഒരു ഗുണിതം നടപ്പിലാക്കുന്നു.
ഇനിപ്പറയുന്ന ചിത്രം LPM_MULT IP കോറിനുള്ള പോർട്ടുകൾ കാണിക്കുന്നു.
LPM_Mult പോർട്ടുകൾ
LPM_MULT ക്ലോക്ക് ഡാറ്റ[] ഫലം[] ഡാറ്റാബ്[] aclr/sclr clken
inst
പേജ് 71-ലെ അനുബന്ധ വിവര സവിശേഷതകൾ
4.1. സവിശേഷതകൾ
LPM_MULT IP കോർ ഇനിപ്പറയുന്ന സവിശേഷതകൾ വാഗ്ദാനം ചെയ്യുന്നു: · രണ്ട് ഇൻപുട്ട് ഡാറ്റ മൂല്യങ്ങൾ ഗുണിക്കുന്ന ഒരു ഗുണിതം ജനറേറ്റുചെയ്യുന്നു · 1 ബിറ്റുകളുടെ ഡാറ്റ വീതിയെ പിന്തുണയ്ക്കുന്നു · ഒപ്പിട്ടതും ഒപ്പിടാത്തതുമായ ഡാറ്റാ പ്രാതിനിധ്യ ഫോർമാറ്റിനെ പിന്തുണയ്ക്കുന്നു · ഏരിയ അല്ലെങ്കിൽ സ്പീഡ് ഒപ്റ്റിമൈസേഷൻ പിന്തുണയ്ക്കുന്നു · കോൺഫിഗർ ചെയ്യാവുന്ന ഔട്ട്പുട്ട് ലേറ്റൻസി ഉപയോഗിച്ച് പൈപ്പ്ലൈനിംഗ് പിന്തുണയ്ക്കുന്നു സമർപ്പിത ഡിജിറ്റൽ സിഗ്നൽ പ്രോസസ്സിംഗിൽ (ഡിഎസ്പി) നടപ്പിലാക്കുന്നതിനുള്ള ഓപ്ഷൻ
ബ്ലോക്ക് സർക്യൂട്ട് അല്ലെങ്കിൽ ലോജിക് ഘടകങ്ങൾ (LEs) ശ്രദ്ധിക്കുക: പ്രാദേശികമായി പിന്തുണയ്ക്കുന്ന വലുപ്പത്തേക്കാൾ വലിയ മൾട്ടിപ്ലയറുകൾ നിർമ്മിക്കുമ്പോൾ ഉണ്ടാകാം/
ഡിഎസ്പി ബ്ലോക്കുകളുടെ കാസ്കേഡിംഗിന്റെ ഫലമായുണ്ടാകുന്ന പ്രകടന ഫലമായിരിക്കും. · ഓപ്ഷണൽ അസിൻക്രണസ് ക്ലിയറും ക്ലോക്ക് പ്രവർത്തനക്ഷമമാക്കുന്ന ഇൻപുട്ട് പോർട്ടുകളും പിന്തുണയ്ക്കുന്നു · Intel Stratix 10, Intel Arria 10, Intel Cyclone 10 GX ഉപകരണങ്ങൾക്കായി ഓപ്ഷണൽ സിൻക്രണസ് ക്ലിയറിനെ പിന്തുണയ്ക്കുന്നു
ഇന്റൽ കോർപ്പറേഷൻ. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം. ഇന്റൽ, ഇന്റൽ ലോഗോ, മറ്റ് ഇന്റൽ മാർക്കുകൾ എന്നിവ ഇന്റൽ കോർപ്പറേഷന്റെയോ അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളുടെയോ വ്യാപാരമുദ്രകളാണ്. ഇന്റലിന്റെ സ്റ്റാൻഡേർഡ് വാറന്റിക്ക് അനുസൃതമായി അതിന്റെ FPGA, അർദ്ധചാലക ഉൽപ്പന്നങ്ങളുടെ പ്രകടനം നിലവിലെ സ്പെസിഫിക്കേഷനുകളിലേക്ക് Intel വാറന്റ് ചെയ്യുന്നു, എന്നാൽ അറിയിപ്പ് കൂടാതെ ഏത് സമയത്തും ഏത് ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം നിക്ഷിപ്തമാണ്. Intel രേഖാമൂലം രേഖാമൂലം സമ്മതിച്ചതല്ലാതെ ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങളുടെയോ ഉൽപ്പന്നത്തിന്റെയോ സേവനത്തിന്റെയോ ആപ്ലിക്കേഷനിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന ഉത്തരവാദിത്തമോ ബാധ്യതയോ Intel ഏറ്റെടുക്കുന്നില്ല. ഏതെങ്കിലും പ്രസിദ്ധീകരിച്ച വിവരങ്ങളെ ആശ്രയിക്കുന്നതിന് മുമ്പും ഉൽപ്പന്നങ്ങൾക്കോ സേവനങ്ങൾക്കോ ഓർഡറുകൾ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സവിശേഷതകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ഇന്റൽ ഉപഭോക്താക്കളോട് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.
ISO 9001:2015 രജിസ്റ്റർ ചെയ്തു
4. LPM_MULT (മൾട്ടിപ്ലയർ) IP കോർ 683490 | 2020.10.05
4.2 വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ്
ഇനിപ്പറയുന്ന വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ് വെരിലോഗ് ഡിസൈനിൽ സ്ഥിതിചെയ്യുന്നു File (.v) lpm.v എഡസിന്തസിസ് ഡയറക്ടറി.
മൊഡ്യൂൾ lpm_mult (ഫലം, ഡാറ്റ, ഡാറ്റാബ്, സം, ക്ലോക്ക്, ക്ലെൻ, aclr ) പാരാമീറ്റർ lpm_type = "lpm_mult"; പാരാമീറ്റർ lpm_widtha = 1; പാരാമീറ്റർ lpm_widthb = 1; പാരാമീറ്റർ lpm_widths = 1; പാരാമീറ്റർ lpm_widthp = 1; പാരാമീറ്റർ lpm_representation = "UNSIGNED"; പാരാമീറ്റർ lpm_pipeline = 0; പാരാമീറ്റർ lpm_hint = "ഉപയോഗിക്കാത്തത്"; ഇൻപുട്ട് ക്ലോക്ക്; ഇൻപുട്ട് ക്ലെൻ; ഇൻപുട്ട് aclr; ഇൻപുട്ട് [lpm_widtha-1:0] dataa; ഇൻപുട്ട് [lpm_widthb-1:0] ഡാറ്റാബ്; ഇൻപുട്ട് [lpm_widths-1:0] തുക; ഔട്ട്പുട്ട് [lpm_widthp-1:0] ഫലം; എൻഡ് മൊഡ്യൂൾ
4.3 VHDL ഘടക പ്രഖ്യാപനം
VHDL ഘടക പ്രഖ്യാപനം VHDL ഡിസൈനിലാണ് File (.vhd) LPM_PACK.vhd ലൈബ്രറികൾvhdllpm ഡയറക്ടറി.
ഘടകം LPM_MULT ജനറിക് (LPM_WIDTHA: സ്വാഭാവികം; LPM_WIDTHB: സ്വാഭാവികം; LPM_WIDTHS: പ്രകൃതി:= 1; LPM_WIDTHP: സ്വാഭാവികം;
LPM_REPRESENTATION : സ്ട്രിംഗ് := “അൺസൈൻ ചെയ്യാത്തത്”; LPM_PIPELINE : സ്വാഭാവികം := 0; LPM_TYPE: സ്ട്രിംഗ് := L_MULT; LPM_HINT : സ്ട്രിംഗ് := “ഉപയോഗിക്കാത്തത്”); പോർട്ട് ( DATAA : std_logic_vector-ൽ (LPM_WIDTHA-1 ഡൗൺ ടു 0); DATAB : std_logic_vector (LPM_WIDTHB-1 ഡൗൺ ടു 0); ACLR: std_logic:= '0'; CLOCK : stdlogic-ൽ:= 'K := '0'; SUM: std_logic_vector-ൽ (LPM_WIDTHS-1 ഡൗൺ ടു 1) := (OTHERS => '0'); ഫലം : ഔട്ട് std_logic_vector(LPM_WIDTHP-0 ഡൗൺ ടു 1)); അവസാന ഘടകം;
4.4 VHDL LIBRARY_USE പ്രഖ്യാപനം
നിങ്ങൾ VHDL ഘടക പ്രഖ്യാപനം ഉപയോഗിക്കുകയാണെങ്കിൽ VHDL ലൈബ്രറി-ഉപയോഗ പ്രഖ്യാപനം ആവശ്യമില്ല.
ലൈബ്രറി lpm; lpm.lpm_components.all ഉപയോഗിക്കുക;
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 17
4. LPM_MULT (മൾട്ടിപ്ലയർ) IP കോർ 683490 | 2020.10.05
4.5. സിഗ്നലുകൾ
പട്ടിക 7.
LPM_MULT ഇൻപുട്ട് സിഗ്നലുകൾ
സിഗ്നൽ നാമം
ആവശ്യമാണ്
വിവരണം
ഡാറ്റ[]
അതെ
ഡാറ്റ ഇൻപുട്ട്.
Intel Stratix 10, Intel Arria 10, Intel Cyclone 10 GX ഉപകരണങ്ങൾക്ക്, ഇൻപുട്ട് സിഗ്നലിന്റെ വലുപ്പം Dataa വീതി പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു.
പഴയതും Intel Cyclone 10 LP ഉപകരണങ്ങൾക്കും, ഇൻപുട്ട് സിഗ്നലിന്റെ വലുപ്പം LPM_WIDTHA പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു.
ഡാറ്റാബ്[]
അതെ
ഡാറ്റ ഇൻപുട്ട്.
Intel Stratix 10, Intel Arria 10, Intel Cyclone 10 GX ഉപകരണങ്ങൾക്കായി, ഇൻപുട്ട് സിഗ്നലിന്റെ വലുപ്പം ഡാറ്റാബ് വീതി പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു.
പഴയതും Intel Cyclone 10 LP ഉപകരണങ്ങളും ഇൻപുട്ട് സിഗ്നലിന്റെ വലുപ്പത്തെ ആശ്രയിച്ചിരിക്കുന്നു
LPM_WIDTHB പാരാമീറ്റർ മൂല്യത്തിൽ.
ക്ലോക്ക്
ഇല്ല
പൈപ്പ്ലൈൻ ഉപയോഗത്തിനുള്ള ക്ലോക്ക് ഇൻപുട്ട്.
പഴയതും Intel Cyclone 10 LP ഉപകരണങ്ങൾക്കും, 0 (സ്ഥിരസ്ഥിതി) ഒഴികെയുള്ള LPM_PIPELINE മൂല്യങ്ങൾക്കായി ക്ലോക്ക് സിഗ്നൽ പ്രവർത്തനക്ഷമമാക്കിയിരിക്കണം.
Intel Stratix 10, Intel Arria 10, Intel Cyclone 10 GX ഉപകരണങ്ങൾക്ക്, ലേറ്റൻസി മൂല്യം 1 (സ്ഥിരസ്ഥിതി) അല്ലാത്തതാണെങ്കിൽ ക്ലോക്ക് സിഗ്നൽ പ്രവർത്തനക്ഷമമാക്കിയിരിക്കണം.
ക്ലെൻ
ഇല്ല
പൈപ്പ്ലൈൻ ഉപയോഗത്തിനായി ക്ലോക്ക് പ്രവർത്തനക്ഷമമാക്കുക. ക്ലെൻ സിഗ്നൽ ഉയർന്നതാണെന്ന് ഉറപ്പിക്കുമ്പോൾ,
ആഡർ/സബ്ട്രാക്ടർ പ്രവർത്തനം നടക്കുന്നു. സിഗ്നൽ കുറവായാൽ പ്രവർത്തനമില്ല
സംഭവിക്കുന്നു. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം 1 ആണ്.
aclr sclr
ഇല്ല
എപ്പോൾ വേണമെങ്കിലും പൈപ്പ്ലൈൻ എല്ലാ 0 സെകളിലേക്കും പുനഃസജ്ജമാക്കാൻ അസിൻക്രണസ് ക്ലിയർ സിഗ്നൽ ഉപയോഗിക്കുന്നു,
ക്ലോക്ക് സിഗ്നലിലേക്ക് അസമന്വിതമായി. നിർവചിക്കാത്ത (X) ലേക്ക് പൈപ്പ്ലൈൻ ആരംഭിക്കുന്നു
ലോജിക് ലെവൽ. ഔട്ട്പുട്ടുകൾ സ്ഥിരതയുള്ളതും എന്നാൽ പൂജ്യമല്ലാത്തതുമായ മൂല്യമാണ്.
ഇല്ല
എല്ലാ 0 സെക്കുകളിലും പൈപ്പ്ലൈൻ പുനഃസജ്ജമാക്കാൻ ഏത് സമയത്തും സിൻക്രണസ് ക്ലിയർ സിഗ്നൽ ഉപയോഗിക്കുന്നു,
ക്ലോക്ക് സിഗ്നലിലേക്ക് സമന്വയിപ്പിച്ച്. നിർവചിക്കാത്ത (X) ലേക്ക് പൈപ്പ്ലൈൻ ആരംഭിക്കുന്നു
ലോജിക് ലെവൽ. ഔട്ട്പുട്ടുകൾ സ്ഥിരതയുള്ളതും എന്നാൽ പൂജ്യമല്ലാത്തതുമായ മൂല്യമാണ്.
പട്ടിക 8.
LPM_MULT ഔട്ട്പുട്ട് സിഗ്നലുകൾ
സിഗ്നൽ പേര്
ആവശ്യമാണ്
വിവരണം
ഫലമായി[]
അതെ
ഡാറ്റ ഔട്ട്പുട്ട്.
പഴയതും Intel Cyclone 10 LP ഉപകരണങ്ങൾക്കും, ഔട്ട്പുട്ട് സിഗ്നലിന്റെ വലുപ്പം LPM_WIDTHP പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു. LPM_WIDTHP < max (LPM_WIDTHA + LPM_WIDTHB, LPM_WIDTHS) അല്ലെങ്കിൽ (LPM_WIDTHA + LPM_WIDTHS) ആണെങ്കിൽ, LPM_WIDTHP MSB-കൾ മാത്രമേ ഉള്ളൂ.
Intel Stratix 10, Intel Arria 10, Intel Cyclone 10 GX എന്നിവയ്ക്കായി, ഔട്ട്പുട്ട് സിഗ്നലുകളുടെ വലുപ്പം ഫലത്തിന്റെ വീതി പരാമീറ്ററിനെ ആശ്രയിച്ചിരിക്കുന്നു.
4.6 സ്ട്രാറ്റിക്സ് വി, അരിരിയ വി, സൈക്ലോൺ വി, ഇന്റൽ സൈക്ലോൺ 10 എൽപി ഉപകരണങ്ങൾക്കുള്ള പാരാമീറ്ററുകൾ
4.6.1. ജനറൽ ടാബ്
പട്ടിക 9.
ജനറൽ ടാബ്
പരാമീറ്റർ
മൂല്യം
മൾട്ടിപ്ലയർ കോൺഫിഗറേഷൻ
'dataa' ഇൻപുട്ട് 'datab' ഇൻപുട്ട് കൊണ്ട് ഗുണിക്കുക
ഡിഫോൾട്ട് മൂല്യം
വിവരണം
'dataa' ഇൻപുട്ട് 'datab' ഇൻപുട്ട് കൊണ്ട് ഗുണിക്കുക
ഗുണിതത്തിനായി ആവശ്യമുള്ള കോൺഫിഗറേഷൻ തിരഞ്ഞെടുക്കുക.
തുടർന്നു…
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 18
ഫീഡ്ബാക്ക് അയയ്ക്കുക
4. LPM_MULT (മൾട്ടിപ്ലയർ) IP കോർ 683490 | 2020.10.05
പരാമീറ്റർ
'ഡാറ്റ' ഇൻപുട്ട് എത്ര വിശാലമായിരിക്കണം? 'ഡാറ്റാബ്' ഇൻപുട്ട് എത്ര വിശാലമായിരിക്കണം? 'ഫലം' ഔട്ട്പുട്ടിന്റെ വീതി എങ്ങനെ നിർണ്ണയിക്കണം? വീതി പരിമിതപ്പെടുത്തുക
മൂല്യം
'ഡാറ്റ' ഇൻപുട്ട് സ്വയം ഗുണിക്കുക (സ്ക്വയറിങ് പ്രവർത്തനം)
1 - 256 ബിറ്റുകൾ
ഡിഫോൾട്ട് മൂല്യം
വിവരണം
8 ബിറ്റുകൾ
ഡാറ്റാ[] പോർട്ടിന്റെ വീതി വ്യക്തമാക്കുക.
1 - 256 ബിറ്റുകൾ
8 ബിറ്റുകൾ
ഡാറ്റാബ്[] പോർട്ടിന്റെ വീതി വ്യക്തമാക്കുക.
വീതി സ്വയമേവ കണക്കാക്കുക വീതി നിയന്ത്രിക്കുക
1 - 512 ബിറ്റുകൾ
യാന്ത്രികമായി y വീതി കണക്കാക്കുക
റിസൾട്ട്[] പോർട്ടിന്റെ വീതി നിർണ്ണയിക്കാൻ ആവശ്യമുള്ള രീതി തിരഞ്ഞെടുക്കുക.
16 ബിറ്റുകൾ
ഫലത്തിന്റെ[] പോർട്ടിന്റെ വീതി വ്യക്തമാക്കുക.
ടൈപ്പ് പാരാമീറ്ററിൽ വീതി നിയന്ത്രിക്കുക എന്നത് തിരഞ്ഞെടുത്താൽ മാത്രമേ ഈ മൂല്യം ഫലപ്രദമാകൂ.
4.6.2. പൊതുവായ 2 ടാബ്
പട്ടിക 10. പൊതുവായ 2 ടാബ്
പരാമീറ്റർ
മൂല്യം
ഡാറ്റാ ഇൻപുട്ട്
'ഡാറ്റാബ്' ഇൻപുട്ട് ബസിന് സ്ഥിരമായ മൂല്യമുണ്ടോ?
ഇല്ല അതെ
ഗുണന തരം
ഏത് തരം
ഒപ്പിടാത്തത്
നിങ്ങൾക്ക് ഗുണനം വേണോ? ഒപ്പിട്ടു
നടപ്പിലാക്കൽ
ഏത് മൾട്ടിപ്ലയർ നടപ്പിലാക്കലാണ് ഉപയോഗിക്കേണ്ടത്?
ഡിഫോൾട്ട് നടപ്പിലാക്കൽ ഉപയോഗിക്കുക
സമർപ്പിത മൾട്ടിപ്ലയർ സർക്യൂട്ട് ഉപയോഗിക്കുക (എല്ലാ കുടുംബങ്ങൾക്കും ലഭ്യമല്ല)
ലോജിക് ഘടകങ്ങൾ ഉപയോഗിക്കുക
ഡിഫോൾട്ട് മൂല്യം
വിവരണം
ഇല്ല
എന്നതിന്റെ സ്ഥിരമായ മൂല്യം വ്യക്തമാക്കുന്നതിന് അതെ തിരഞ്ഞെടുക്കുക
`ഡാറ്റാബ്' ഇൻപുട്ട് ബസ്, എന്തെങ്കിലും ഉണ്ടെങ്കിൽ.
ഒപ്പിടാത്തത്
ഡാറ്റാ[], ഡാറ്റാബ്[] ഇൻപുട്ടുകൾക്കുള്ള പ്രാതിനിധ്യ ഫോർമാറ്റ് വ്യക്തമാക്കുക.
ഡിഫോൾട്ട് നടപ്പിലാക്കൽ അയോൺ ഉപയോഗിക്കുക
റിസൾട്ട്[] പോർട്ടിന്റെ വീതി നിർണ്ണയിക്കാൻ ആവശ്യമുള്ള രീതി തിരഞ്ഞെടുക്കുക.
4.6.3. പൈപ്പ്ലൈനിംഗ് ടാബ്
പട്ടിക 11. പൈപ്പ്ലൈനിംഗ് ടാബ്
പരാമീറ്റർ
നിങ്ങൾക്ക് നമ്പർ പൈപ്പ്ലൈൻ ചെയ്യണോ
പ്രവർത്തനം?
അതെ
മൂല്യം
ഒരു 'aclr' സൃഷ്ടിക്കുക
—
അസിൻക്രണസ് ക്ലിയർ പോർട്ട്
ഡിഫോൾട്ട് മൂല്യം
വിവരണം
ഇല്ല
പൈപ്പ്ലൈൻ രജിസ്റ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ അതെ തിരഞ്ഞെടുക്കുക
ഗുണിതത്തിന്റെ ഔട്ട്പുട്ട്, ആവശ്യമുള്ളത് വ്യക്തമാക്കുക
ക്ലോക്ക് സൈക്കിളിലെ ഔട്ട്പുട്ട് ലേറ്റൻസി. പ്രവർത്തനക്ഷമമാക്കുന്നു
പൈപ്പ് ലൈൻ രജിസ്റ്റർ അധിക ലേറ്റൻസി ചേർക്കുന്നു
ഔട്ട്പുട്ട്.
അൺചെക്ക് ചെയ്തു
പൈപ്പ്ലൈൻ രജിസ്റ്ററിനായി അസിൻക്രണസ് ക്ലിയർ ഉപയോഗിക്കുന്നതിന് aclr പോർട്ട് പ്രവർത്തനക്ഷമമാക്കാൻ ഈ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക.
തുടർന്നു…
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 19
4. LPM_MULT (മൾട്ടിപ്ലയർ) IP കോർ 683490 | 2020.10.05
പരാമീറ്റർ
ഒരു 'clken' ക്ലോക്ക് സൃഷ്ടിക്കുക ക്ലോക്ക് പ്രവർത്തനക്ഷമമാക്കുക
ഒപ്റ്റിമൈസേഷൻ
ഏത് തരത്തിലുള്ള ഒപ്റ്റിമൈസേഷനാണ് നിങ്ങൾക്ക് വേണ്ടത്?
മൂല്യം -
ഡിഫോൾട്ട് സ്പീഡ് ഏരിയ
ഡിഫോൾട്ട് മൂല്യം
വിവരണം
അൺചെക്ക് ചെയ്തു
പൈപ്പ്ലൈൻ രജിസ്റ്ററിന്റെ ക്ലോക്ക് പോർട്ടിനായി സജീവമായ ഉയർന്ന ക്ലോക്ക് പ്രവർത്തനക്ഷമമാക്കുന്നു എന്ന് വ്യക്തമാക്കുന്നു
സ്ഥിരസ്ഥിതി
IP കോറിനായി ആവശ്യമുള്ള ഒപ്റ്റിമൈസേഷൻ വ്യക്തമാക്കുക.
IP കോറിനായി ഏറ്റവും മികച്ച ഒപ്റ്റിമൈസേഷൻ നിർണ്ണയിക്കാൻ Intel Quartus Prime സോഫ്റ്റ്വെയറിനെ അനുവദിക്കുന്നതിന് ഡിഫോൾട്ട് തിരഞ്ഞെടുക്കുക.
4.7 Intel Stratix 10, Intel Arria 10, Intel Cyclone 10 GX ഉപകരണങ്ങൾക്കുള്ള പാരാമീറ്ററുകൾ
4.7.1. ജനറൽ ടാബ്
പട്ടിക 12. പൊതുവായ ടാബ്
പരാമീറ്റർ
മൂല്യം
ഡിഫോൾട്ട് മൂല്യം
വിവരണം
മൾട്ടിപ്ലയർ കോൺഫിഗറേഷൻ തരം
ഡാറ്റ പോർട്ട് വീതി
'dataa' ഇൻപുട്ട് 'datab' ഇൻപുട്ട് കൊണ്ട് ഗുണിക്കുക
'ഡാറ്റ' ഇൻപുട്ട് സ്വയം ഗുണിക്കുക (സ്ക്വയറിങ് പ്രവർത്തനം)
'dataa' ഇൻപുട്ട് 'datab' ഇൻപുട്ട് കൊണ്ട് ഗുണിക്കുക
ഗുണിതത്തിനായി ആവശ്യമുള്ള കോൺഫിഗറേഷൻ തിരഞ്ഞെടുക്കുക.
ഡാറ്റ വീതി
1 - 256 ബിറ്റുകൾ
8 ബിറ്റുകൾ
ഡാറ്റാ[] പോർട്ടിന്റെ വീതി വ്യക്തമാക്കുക.
ഡാറ്റാബ് വീതി
1 - 256 ബിറ്റുകൾ
8 ബിറ്റുകൾ
ഡാറ്റാബ്[] പോർട്ടിന്റെ വീതി വ്യക്തമാക്കുക.
'ഫലം' ഔട്ട്പുട്ടിന്റെ വീതി എങ്ങനെ നിർണ്ണയിക്കണം?
ടൈപ്പ് ചെയ്യുക
വീതി യാന്ത്രികമായി കണക്കാക്കുക
വീതി പരിമിതപ്പെടുത്തുക
യാന്ത്രികമായി y വീതി കണക്കാക്കുക
റിസൾട്ട്[] പോർട്ടിന്റെ വീതി നിർണ്ണയിക്കാൻ ആവശ്യമുള്ള രീതി തിരഞ്ഞെടുക്കുക.
മൂല്യം
1 - 512 ബിറ്റുകൾ
16 ബിറ്റുകൾ
ഫലത്തിന്റെ[] പോർട്ടിന്റെ വീതി വ്യക്തമാക്കുക.
ടൈപ്പ് പാരാമീറ്ററിൽ വീതി നിയന്ത്രിക്കുക എന്നത് തിരഞ്ഞെടുത്താൽ മാത്രമേ ഈ മൂല്യം ഫലപ്രദമാകൂ.
ഫലത്തിന്റെ വീതി
1 - 512 ബിറ്റുകൾ
—
ഫലത്തിന്റെ[] പോർട്ടിന്റെ ഫലപ്രദമായ വീതി പ്രദർശിപ്പിക്കുന്നു.
4.7.2. പൊതുവായ 2 ടാബ്
പട്ടിക 13. പൊതുവായ 2 ടാബ്
പരാമീറ്റർ
ഡാറ്റാ ഇൻപുട്ട്
'ഡാറ്റാബ്' ഇൻപുട്ട് ബസിന് സ്ഥിരമായ മൂല്യമുണ്ടോ?
ഇല്ല അതെ
മൂല്യം
ഡിഫോൾട്ട് മൂല്യം
വിവരണം
ഇല്ല
എന്നതിന്റെ സ്ഥിരമായ മൂല്യം വ്യക്തമാക്കുന്നതിന് അതെ തിരഞ്ഞെടുക്കുക
`ഡാറ്റാബ്' ഇൻപുട്ട് ബസ്, എന്തെങ്കിലും ഉണ്ടെങ്കിൽ.
തുടർന്നു…
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 20
ഫീഡ്ബാക്ക് അയയ്ക്കുക
4. LPM_MULT (മൾട്ടിപ്ലയർ) IP കോർ 683490 | 2020.10.05
പരാമീറ്റർ
മൂല്യം
മൂല്യം
0-ൽ കൂടുതലുള്ള ഏത് മൂല്യവും
ഗുണന തരം
ഏത് തരം
ഒപ്പിടാത്തത്
നിങ്ങൾക്ക് ഗുണനം വേണോ? ഒപ്പിട്ടു
നടപ്പാക്കൽ ശൈലി
ഏത് മൾട്ടിപ്ലയർ നടപ്പിലാക്കലാണ് ഉപയോഗിക്കേണ്ടത്?
ഡിഫോൾട്ട് നടപ്പിലാക്കൽ ഉപയോഗിക്കുക
സമർപ്പിത മൾട്ടിപ്ലയർ സർക്യൂട്ട് ഉപയോഗിക്കുക
ലോജിക് ഘടകങ്ങൾ ഉപയോഗിക്കുക
ഡിഫോൾട്ട് മൂല്യം
വിവരണം
0
ഡാറ്റാബ്[] പോർട്ടിന്റെ സ്ഥിരമായ മൂല്യം വ്യക്തമാക്കുക.
ഒപ്പിടാത്തത്
ഡാറ്റാ[], ഡാറ്റാബ്[] ഇൻപുട്ടുകൾക്കുള്ള പ്രാതിനിധ്യ ഫോർമാറ്റ് വ്യക്തമാക്കുക.
ഡിഫോൾട്ട് നടപ്പിലാക്കൽ അയോൺ ഉപയോഗിക്കുക
റിസൾട്ട്[] പോർട്ടിന്റെ വീതി നിർണ്ണയിക്കാൻ ആവശ്യമുള്ള രീതി തിരഞ്ഞെടുക്കുക.
4.7.3. പൈപ്പ്ലൈനിംഗ്
പട്ടിക 14. പൈപ്പ്ലൈനിംഗ് ടാബ്
പരാമീറ്റർ
മൂല്യം
ഫംഗ്ഷൻ പൈപ്പ്ലൈൻ ചെയ്യാൻ നിങ്ങൾ ആഗ്രഹിക്കുന്നുണ്ടോ?
പൈപ്പ്ലൈൻ
ഇല്ല അതെ
ലേറ്റൻസി ക്ലിയർ സിഗ്നൽ തരം
0-ൽ കൂടുതലുള്ള ഏത് മൂല്യവും.
ഒന്നുമില്ല ACLR SCLR
ഒരു 'clken' ക്ലോക്ക് സൃഷ്ടിക്കുക
—
ക്ലോക്ക് പ്രവർത്തനക്ഷമമാക്കുക
ഏത് തരത്തിലുള്ള ഒപ്റ്റിമൈസേഷനാണ് നിങ്ങൾക്ക് വേണ്ടത്?
ടൈപ്പ് ചെയ്യുക
ഡിഫോൾട്ട് സ്പീഡ് ഏരിയ
ഡിഫോൾട്ട് മൂല്യം
വിവരണം
നമ്പർ 1 ഇല്ല
—
മൾട്ടിപ്ലയറിന്റെ ഔട്ട്പുട്ടിലേക്ക് പൈപ്പ്ലൈൻ രജിസ്റ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ അതെ തിരഞ്ഞെടുക്കുക. പൈപ്പ്ലൈൻ രജിസ്റ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നത് ഔട്ട്പുട്ടിലേക്ക് അധിക ലേറ്റൻസി ചേർക്കുന്നു.
ക്ലോക്ക് സൈക്കിളിൽ ആവശ്യമുള്ള ഔട്ട്പുട്ട് ലേറ്റൻസി വ്യക്തമാക്കുക.
പൈപ്പ്ലൈൻ രജിസ്റ്ററിനായി പുനഃസജ്ജീകരണത്തിന്റെ തരം വ്യക്തമാക്കുക. നിങ്ങൾ പൈപ്പ്ലൈൻ രജിസ്റ്ററൊന്നും ഉപയോഗിക്കുന്നില്ലെങ്കിൽ NONE തിരഞ്ഞെടുക്കുക. പൈപ്പ് ലൈൻ രജിസ്റ്ററിന് അസിൻക്രണസ് ക്ലിയർ ഉപയോഗിക്കുന്നതിന് ACLR തിരഞ്ഞെടുക്കുക. ഇത് ACLR പോർട്ട് സൃഷ്ടിക്കും. പൈപ്പ്ലൈൻ രജിസ്റ്ററിനായി സിൻക്രണസ് ക്ലിയർ ഉപയോഗിക്കുന്നതിന് SCLR തിരഞ്ഞെടുക്കുക. ഇത് SCLR പോർട്ട് സൃഷ്ടിക്കും.
പൈപ്പ്ലൈൻ രജിസ്റ്ററിന്റെ ക്ലോക്ക് പോർട്ടിനായി സജീവമായ ഉയർന്ന ക്ലോക്ക് പ്രവർത്തനക്ഷമമാക്കുന്നു എന്ന് വ്യക്തമാക്കുന്നു
സ്ഥിരസ്ഥിതി
IP കോറിനായി ആവശ്യമുള്ള ഒപ്റ്റിമൈസേഷൻ വ്യക്തമാക്കുക.
ഐപി കോറിനായി മികച്ച ഒപ്റ്റിമൈസേഷൻ നിർണ്ണയിക്കാൻ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയറിനെ അനുവദിക്കുന്നതിന് ഡിഫോൾട്ട് തിരഞ്ഞെടുക്കുക.
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 21
683490 | 2020.10.05 ഫീഡ്ബാക്ക് അയയ്ക്കുക
5. LPM_ADD_SUB (ആഡർ/സബ്ട്രാക്ടർ)
ചിത്രം 4.
LPM_ADD_SUB IP കോർ നിങ്ങളെ ഇൻപുട്ട് മൂല്യങ്ങളുടെ ആകെത്തുകയോ വ്യത്യാസമോ ഉൾക്കൊള്ളുന്ന ഒരു ഔട്ട്പുട്ട് നിർമ്മിക്കുന്നതിന് ഡാറ്റയുടെ സെറ്റുകൾ ചേർക്കുന്നതിനോ കുറയ്ക്കുന്നതിനോ ഒരു ആഡറോ സബ്ട്രാക്ടറോ നടപ്പിലാക്കാൻ നിങ്ങളെ അനുവദിക്കുന്നു.
ഇനിപ്പറയുന്ന ചിത്രം LPM_ADD_SUB IP കോറിന്റെ പോർട്ടുകൾ കാണിക്കുന്നു.
LPM_ADD_SUB പോർട്ടുകൾ
LPM_ADD_SUB add_sub cin
ഡാറ്റ[]
ക്ലോക്ക് ക്ലെൻ ഡാറ്റാബ്[] aclr
ഫലം[] ഓവർഫ്ലോ കൗട്ട്
inst
5.1. സവിശേഷതകൾ
LPM_ADD_SUB IP കോർ ഇനിപ്പറയുന്ന സവിശേഷതകൾ വാഗ്ദാനം ചെയ്യുന്നു:
പ്രവർത്തനങ്ങൾ. · 1 ബിറ്റുകളുടെ ഡാറ്റ വീതിയെ പിന്തുണയ്ക്കുന്നു. · ഒപ്പിട്ടതും ഒപ്പിടാത്തതും പോലുള്ള ഡാറ്റ പ്രാതിനിധ്യ ഫോർമാറ്റിനെ പിന്തുണയ്ക്കുന്നു. · ഓപ്ഷണൽ ക്യാരി-ഇൻ (കടം വാങ്ങൽ), അസിൻക്രണസ് ക്ലിയർ, ക്ലോക്ക് പ്രവർത്തനക്ഷമമാക്കൽ എന്നിവ പിന്തുണയ്ക്കുന്നു
ഇൻപുട്ട് പോർട്ടുകൾ. · ഓപ്ഷണൽ കൈമാറ്റം (കടം വാങ്ങൽ), ഓവർഫ്ലോ ഔട്ട്പുട്ട് പോർട്ടുകൾ എന്നിവ പിന്തുണയ്ക്കുന്നു. · ഇൻപുട്ട് ഡാറ്റ ബസുകളിൽ ഒന്നിനെ സ്ഥിരാങ്കത്തിലേക്ക് അസൈൻ ചെയ്യുന്നു. · കോൺഫിഗർ ചെയ്യാവുന്ന ഔട്ട്പുട്ട് ലേറ്റൻസി ഉപയോഗിച്ച് പൈപ്പ്ലൈനിംഗ് പിന്തുണയ്ക്കുന്നു.
ഇന്റൽ കോർപ്പറേഷൻ. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം. ഇന്റൽ, ഇന്റൽ ലോഗോ, മറ്റ് ഇന്റൽ മാർക്കുകൾ എന്നിവ ഇന്റൽ കോർപ്പറേഷന്റെയോ അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളുടെയോ വ്യാപാരമുദ്രകളാണ്. ഇന്റലിന്റെ സ്റ്റാൻഡേർഡ് വാറന്റിക്ക് അനുസൃതമായി അതിന്റെ FPGA, അർദ്ധചാലക ഉൽപ്പന്നങ്ങളുടെ പ്രകടനം നിലവിലെ സ്പെസിഫിക്കേഷനുകളിലേക്ക് Intel വാറന്റ് ചെയ്യുന്നു, എന്നാൽ അറിയിപ്പ് കൂടാതെ ഏത് സമയത്തും ഏത് ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം നിക്ഷിപ്തമാണ്. Intel രേഖാമൂലം രേഖാമൂലം സമ്മതിച്ചതല്ലാതെ ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങളുടെയോ ഉൽപ്പന്നത്തിന്റെയോ സേവനത്തിന്റെയോ ആപ്ലിക്കേഷനിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന ഉത്തരവാദിത്തമോ ബാധ്യതയോ Intel ഏറ്റെടുക്കുന്നില്ല. ഏതെങ്കിലും പ്രസിദ്ധീകരിച്ച വിവരങ്ങളെ ആശ്രയിക്കുന്നതിന് മുമ്പും ഉൽപ്പന്നങ്ങൾക്കോ സേവനങ്ങൾക്കോ ഓർഡറുകൾ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സവിശേഷതകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ഇന്റൽ ഉപഭോക്താക്കളോട് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.
ISO 9001:2015 രജിസ്റ്റർ ചെയ്തു
5. LPM_ADD_SUB (ആഡർ/സബ്ട്രാക്ടർ) 683490 | 2020.10.05
5.2 വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ്
ഇനിപ്പറയുന്ന വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ് വെരിലോഗ് ഡിസൈനിൽ സ്ഥിതിചെയ്യുന്നു File (.v) lpm.v എഡസിന്തസിസ് ഡയറക്ടറി.
മൊഡ്യൂൾ lpm_add_sub (ഫലം, കട്ട്, ഓവർഫ്ലോ, ആഡ്_സബ്, സിൻ, ഡാറ്റ, ഡാറ്റാബ്, ക്ലോക്ക്, ക്ലെൻ, എസിഎൽആർ ); പാരാമീറ്റർ lpm_type = "lpm_add_sub"; പാരാമീറ്റർ lpm_width = 1; പാരാമീറ്റർ lpm_direction = "ഉപയോഗിക്കാത്തത്"; പാരാമീറ്റർ lpm_representation = "SIGNED"; പാരാമീറ്റർ lpm_pipeline = 0; പാരാമീറ്റർ lpm_hint = "ഉപയോഗിക്കാത്തത്"; ഇൻപുട്ട് [lpm_width-1:0] dataa, datab; ഇൻപുട്ട് ആഡ്_സബ്, സിൻ; ഇൻപുട്ട് ക്ലോക്ക്; ഇൻപുട്ട് ക്ലെൻ; ഇൻപുട്ട് aclr; ഔട്ട്പുട്ട് [lpm_width-1:0] ഫലം; ഔട്ട്പുട്ട് കൗട്ട്, ഓവർഫ്ലോ; എൻഡ് മൊഡ്യൂൾ
5.3 VHDL ഘടക പ്രഖ്യാപനം
VHDL ഘടക പ്രഖ്യാപനം VHDL ഡിസൈനിലാണ് File (.vhd) LPM_PACK.vhd ലൈബ്രറികൾvhdllpm ഡയറക്ടറി.
ഘടകം LPM_ADD_SUB ജനറിക് (LPM_WIDTH : സ്വാഭാവികം;
LPM_DIRECTION : സ്ട്രിംഗ് := “ഉപയോഗിക്കാത്തത്”; LPM_REPRESENTATION: സ്ട്രിംഗ് := “ഒപ്പിട്ടത്”; LPM_PIPELINE : സ്വാഭാവികം := 0; LPM_TYPE : സ്ട്രിംഗ് := L_ADD_SUB; LPM_HINT : സ്ട്രിംഗ് := “ഉപയോഗിക്കാത്തത്”); പോർട്ട് (DATAA : std_logic_vector-ൽ (LPM_WIDTH-1 ഡൗൺ ടു 0); DATAB : std_logic_vector (LPM_WIDTH-1 ഡൗൺ ടു 0); ACLR : std_logic:= '0'; CLOCK : std_logic: = '0' ൽ; := '1'; CIN: std_logic-ൽ := 'Z'; ADD_SUB: std_logic:= '1'; ഫലം: ഔട്ട് std_logic_vector (LPM_WIDTH-1 ഡൗൺ ടു 0); COUT: ഔട്ട് std_logic; OVERFLOW : ഔട്ട് std_logic; അവസാന ഘടകം;
5.4 VHDL LIBRARY_USE പ്രഖ്യാപനം
നിങ്ങൾ VHDL ഘടക പ്രഖ്യാപനം ഉപയോഗിക്കുകയാണെങ്കിൽ VHDL ലൈബ്രറി-ഉപയോഗ പ്രഖ്യാപനം ആവശ്യമില്ല.
ലൈബ്രറി lpm; lpm.lpm_components.all ഉപയോഗിക്കുക;
5.5. തുറമുഖങ്ങൾ
ഇനിപ്പറയുന്ന പട്ടികകൾ LPM_ADD_SUB IP കോറിനുള്ള ഇൻപുട്ട്, ഔട്ട്പുട്ട് പോർട്ടുകൾ ലിസ്റ്റ് ചെയ്യുന്നു.
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 23
5. LPM_ADD_SUB (ആഡർ/സബ്ട്രാക്ടർ) 683490 | 2020.10.05
പട്ടിക 15. LPM_ADD_SUB IP കോർ ഇൻപുട്ട് പോർട്ടുകൾ
പോർട്ട് നാമം
ആവശ്യമാണ്
വിവരണം
സിൻ
ഇല്ല
ലോ-ഓർഡർ ബിറ്റിലേക്ക് കൊണ്ടുപോകുക. കൂട്ടിച്ചേർക്കൽ പ്രവർത്തനങ്ങൾക്ക്, ഡിഫോൾട്ട് മൂല്യം 0 ആണ്
കുറയ്ക്കൽ പ്രവർത്തനങ്ങൾ, ഡിഫോൾട്ട് മൂല്യം 1 ആണ്.
ഡാറ്റ[]
അതെ
ഡാറ്റ ഇൻപുട്ട്. ഇൻപുട്ട് പോർട്ടിന്റെ വലുപ്പം LPM_WIDTH പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു.
ഡാറ്റാബ്[]
അതെ
ഡാറ്റ ഇൻപുട്ട്. ഇൻപുട്ട് പോർട്ടിന്റെ വലുപ്പം LPM_WIDTH പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു.
add_sub
ഇല്ല
ആഡറും സബ്ട്രാക്ടറും തമ്മിൽ ഡൈനാമിക് സ്വിച്ചിംഗ് പ്രവർത്തനക്ഷമമാക്കാൻ ഓപ്ഷണൽ ഇൻപുട്ട് പോർട്ട്
പ്രവർത്തനങ്ങൾ. LPM_DIRECTION പാരാമീറ്റർ ഉപയോഗിക്കുകയാണെങ്കിൽ, add_sub ഉപയോഗിക്കാൻ കഴിയില്ല. എങ്കിൽ
ഒഴിവാക്കി, ഡിഫോൾട്ട് മൂല്യം ADD ആണ്. നിങ്ങൾ ഉപയോഗിക്കാൻ ഇന്റൽ ശുപാർശ ചെയ്യുന്നു
LPM_ADD_SUB ഫംഗ്ഷന്റെ പ്രവർത്തനം വ്യക്തമാക്കുന്നതിനുള്ള LPM_DIRECTION പാരാമീറ്റർ,
add_sub പോർട്ടിലേക്ക് ഒരു സ്ഥിരാങ്കം നൽകുന്നതിനുപകരം.
ക്ലോക്ക്
ഇല്ല
പൈപ്പ്ലൈൻ ഉപയോഗത്തിനുള്ള ഇൻപുട്ട്. ക്ലോക്ക് പോർട്ട് ഒരു പൈപ്പ്ലൈനിനുള്ള ക്ലോക്ക് ഇൻപുട്ട് നൽകുന്നു
ഓപ്പറേഷൻ. 0 ഒഴികെയുള്ള LPM_PIPELINE മൂല്യങ്ങൾക്ക് (സ്ഥിരസ്ഥിതി), ക്ലോക്ക് പോർട്ട് ആയിരിക്കണം
പ്രവർത്തനക്ഷമമാക്കി.
ക്ലെൻ
ഇല്ല
പൈപ്പ്ലൈൻ ഉപയോഗത്തിനായി ക്ലോക്ക് പ്രവർത്തനക്ഷമമാക്കുക. ക്ലെൻ പോർട്ട് ഉയർന്നതാണെന്ന് ഉറപ്പിക്കുമ്പോൾ, ആഡർ/
സബ്ട്രാക്ടർ പ്രവർത്തനം നടക്കുന്നു. സിഗ്നൽ കുറവാണെങ്കിൽ, ഒരു പ്രവർത്തനവും സംഭവിക്കുന്നില്ല. എങ്കിൽ
ഒഴിവാക്കി, സ്ഥിര മൂല്യം 1 ആണ്.
aclr
ഇല്ല
പൈപ്പ്ലൈൻ ഉപയോഗത്തിന് അസിൻക്രണസ് ക്ലിയർ. നിർവചിക്കാത്ത (X) ലേക്ക് പൈപ്പ്ലൈൻ ആരംഭിക്കുന്നു
ലോജിക് ലെവൽ. പൈപ്പ്ലൈൻ എല്ലാ 0 സെക്കുകളിലും പുനഃസജ്ജമാക്കാൻ aclr പോർട്ട് എപ്പോൾ വേണമെങ്കിലും ഉപയോഗിക്കാം,
ക്ലോക്ക് സിഗ്നലിലേക്ക് അസമന്വിതമായി.
പട്ടിക 16. LPM_ADD_SUB IP കോർ ഔട്ട്പുട്ട് പോർട്ടുകൾ
പോർട്ട് നാമം
ആവശ്യമാണ്
വിവരണം
ഫലമായി[]
അതെ
ഡാറ്റ ഔട്ട്പുട്ട്. ഔട്ട്പുട്ട് പോർട്ടിന്റെ വലുപ്പം LPM_WIDTH പാരാമീറ്ററിനെ ആശ്രയിച്ചിരിക്കുന്നു
മൂല്യം.
കട്ട്
ഇല്ല
ഏറ്റവും പ്രധാനപ്പെട്ട ബിറ്റിന്റെ (എംഎസ്ബി) കൊണ്ടുപോകുക (കടം വാങ്ങുക). കൗട്ട് പോർട്ടിന് ഒരു ഫിസിക്കൽ ഉണ്ട്
MSB-യുടെ കൈമാറ്റം (കടം വാങ്ങൽ) ആയി വ്യാഖ്യാനം. കൗട്ട് പോർട്ട് കണ്ടുപിടിക്കുന്നു
അൺസൈൻ ചെയ്യാത്ത പ്രവർത്തനങ്ങളിൽ ഓവർഫ്ലോ. കൗട്ട് പോർട്ട് അതേ രീതിയിൽ പ്രവർത്തിക്കുന്നു
ഒപ്പിട്ടതും അൺസൈൻ ചെയ്യാത്തതുമായ പ്രവർത്തനങ്ങൾ.
കവിഞ്ഞൊഴുകുന്നു
ഇല്ല
ഓപ്ഷണൽ ഓവർഫ്ലോ ഒഴിവാക്കൽ ഔട്ട്പുട്ട്. ഓവർഫ്ലോ പോർട്ടിന് ഒരു ഭൗതിക വ്യാഖ്യാനമുണ്ട്
MSB-യുടെ കൈമാറ്റത്തോടൊപ്പം MSB-യിലേക്ക് കൊണ്ടുപോകുന്നതിന്റെ XOR. ഓവർഫ്ലോ പോർട്ട്
ഫലങ്ങൾ ലഭ്യമായ കൃത്യതയെ കവിയുമ്പോൾ ഉറപ്പിക്കുന്നു, അത് ഉപയോഗിക്കുമ്പോൾ മാത്രം
LPM_REPRESENTATION പാരാമീറ്റർ മൂല്യം ഒപ്പിട്ടു.
5.6. പാരാമീറ്ററുകൾ
ഇനിപ്പറയുന്ന പട്ടിക LPM_ADD_SUB IP കോർ പാരാമീറ്ററുകൾ പട്ടികപ്പെടുത്തുന്നു.
പട്ടിക 17. LPM_ADD_SUB IP കോർ പാരാമീറ്ററുകൾ
പാരാമീറ്ററിന്റെ പേര് LPM_WIDTH
പൂർണ്ണസംഖ്യ എന്ന് ടൈപ്പ് ചെയ്യുക
ആവശ്യമാണ് അതെ
വിവരണം
ഡാറ്റാ[], ഡാറ്റാബ്[], റിസൾട്ട്[] പോർട്ടുകൾ എന്നിവയുടെ വീതി വ്യക്തമാക്കുന്നു.
LPM_DIRECTION
സ്ട്രിംഗ്
ഇല്ല
മൂല്യങ്ങൾ ചേർക്കുക, SUB, UNUSED എന്നിവയാണ്. ഒഴിവാക്കിയാൽ, ഡിഫോൾട്ട് മൂല്യം DEFAULT ആണ്, അത് add_sub പോർട്ടിൽ നിന്ന് അതിന്റെ മൂല്യം എടുക്കാൻ പരാമീറ്ററിനെ നയിക്കുന്നു. LPM_DIRECTION ഉപയോഗിക്കുകയാണെങ്കിൽ add_sub പോർട്ട് ഉപയോഗിക്കാൻ കഴിയില്ല. LPM_ADD_SUB ഫംഗ്ഷന്റെ പ്രവർത്തനം വ്യക്തമാക്കുന്നതിന്, add_sub പോർട്ടിലേക്ക് ഒരു സ്ഥിരാങ്കം നൽകുന്നതിന് പകരം LPM_DIRECTION പാരാമീറ്റർ ഉപയോഗിക്കണമെന്ന് Intel ശുപാർശ ചെയ്യുന്നു.
തുടർന്നു…
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 24
ഫീഡ്ബാക്ക് അയയ്ക്കുക
5. LPM_ADD_SUB (ആഡർ/സബ്ട്രാക്ടർ) 683490 | 2020.10.05
പാരാമീറ്ററിന്റെ പേര് LPM_REPRESENTATION LPM_PIPELINE LPM_HINT LPM_TYPE ONE_INPUT_IS_CONSTANT MAXIMIZE_SPEED
INTENDED_DEVICE_FAMILY
സ്ട്രിംഗ് പൂർണ്ണസംഖ്യ സ്ട്രിംഗ് സ്ട്രിംഗ് പൂർണ്ണസംഖ്യ എന്ന് ടൈപ്പ് ചെയ്യുക
സ്ട്രിംഗ്
ആവശ്യം ഇല്ല ഇല്ല ഇല്ല ഇല്ല ഇല്ല
ഇല്ല
വിവരണം
നടത്തിയ കൂട്ടിച്ചേർക്കലിന്റെ തരം വ്യക്തമാക്കുന്നു. മൂല്യങ്ങൾ ഒപ്പിട്ടതും അൺസൈൻ ചെയ്യാത്തതുമാണ്. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം ഒപ്പിടും. ഈ പരാമീറ്റർ SIGNED ആയി സജ്ജീകരിക്കുമ്പോൾ, ആഡർ/സബ്ട്രാക്റ്റർ ഡാറ്റ ഇൻപുട്ടിനെ സൈൻ ചെയ്ത രണ്ടിന്റെ പൂരകമായി വ്യാഖ്യാനിക്കുന്നു.
ഫലം[] ഔട്ട്പുട്ടുമായി ബന്ധപ്പെട്ട ലേറ്റൻസി ക്ലോക്ക് സൈക്കിളുകളുടെ എണ്ണം വ്യക്തമാക്കുന്നു. പൂജ്യത്തിന്റെ (0) മൂല്യം സൂചിപ്പിക്കുന്നത് ലേറ്റൻസി നിലവിലില്ലെന്നും പൂർണ്ണമായും കോമ്പിനേഷൻ ഫംഗ്ഷൻ ഉടനടി സംഭവിക്കുമെന്നും. ഒഴിവാക്കിയാൽ, ഡിഫോൾട്ട് മൂല്യം 0 ആണ് (പൈപ്പ്ലൈൻ ചെയ്യാത്തത്).
VHDL ഡിസൈനിൽ ഇന്റൽ-നിർദ്ദിഷ്ട പാരാമീറ്ററുകൾ വ്യക്തമാക്കാൻ നിങ്ങളെ അനുവദിക്കുന്നു files (.vhd). സ്ഥിര മൂല്യം UNUSED ആണ്.
VHDL ഡിസൈനിലെ പാരാമീറ്ററൈസ്ഡ് മൊഡ്യൂളുകളുടെ (LPM) എന്റിറ്റി നാമത്തിന്റെ ലൈബ്രറി തിരിച്ചറിയുന്നു files.
ഇന്റൽ-നിർദ്ദിഷ്ട പാരാമീറ്റർ. VHDL ഡിസൈനിലെ ONE_INPUT_IS_CONSTANT പാരാമീറ്റർ വ്യക്തമാക്കാൻ നിങ്ങൾ LPM_HINT പാരാമീറ്റർ ഉപയോഗിക്കണം fileഎസ്. മൂല്യങ്ങൾ അതെ, ഇല്ല, ഉപയോഗിക്കാത്തത് എന്നിവയാണ്. ഒരു ഇൻപുട്ട് സ്ഥിരമാണെങ്കിൽ കൂടുതൽ ഒപ്റ്റിമൈസേഷൻ നൽകുന്നു. ഒഴിവാക്കിയാൽ, ഡിഫോൾട്ട് മൂല്യം NO ആണ്.
ഇന്റൽ-നിർദ്ദിഷ്ട പാരാമീറ്റർ. VHDL ഡിസൈനിലെ MAXIMIZE_SPEED പാരാമീറ്റർ വ്യക്തമാക്കാൻ നിങ്ങൾ LPM_HINT പാരാമീറ്റർ ഉപയോഗിക്കണം fileഎസ്. നിങ്ങൾക്ക് 0-നും 10-നും ഇടയിലുള്ള ഒരു മൂല്യം വ്യക്തമാക്കാൻ കഴിയും. ഉപയോഗിക്കുകയാണെങ്കിൽ, Intel Quartus Prime സോഫ്റ്റ്വെയർ, LPM_ADD_SUB ഫംഗ്ഷന്റെ ഒരു നിർദ്ദിഷ്ട ഉദാഹരണം റൂട്ടബിലിറ്റിയ്ക്ക് പകരം സ്പീഡിനായി ഒപ്റ്റിമൈസ് ചെയ്യാൻ ശ്രമിക്കുന്നു, ഒപ്പം ഒപ്റ്റിമൈസേഷൻ ടെക്നിക് ലോജിക് ഓപ്ഷന്റെ ക്രമീകരണം അസാധുവാക്കുകയും ചെയ്യുന്നു. MAXIMIZE_SPEED ഉപയോഗിച്ചിട്ടില്ലെങ്കിൽ, പകരം ഒപ്റ്റിമൈസേഷൻ ടെക്നിക് ഓപ്ഷന്റെ മൂല്യം ഉപയോഗിക്കും. MAXIMIZE_SPEED എന്നതിനായുള്ള ക്രമീകരണം 6 അല്ലെങ്കിൽ അതിലും ഉയർന്നതാണെങ്കിൽ, കംപൈലർ കാരി ചെയിനുകൾ ഉപയോഗിച്ച് ഉയർന്ന വേഗതയ്ക്കായി LPM_ADD_SUB IP കോർ ഒപ്റ്റിമൈസ് ചെയ്യുന്നു; ക്രമീകരണം 5 അല്ലെങ്കിൽ അതിൽ കുറവാണെങ്കിൽ, കംപൈലർ ക്യാരി ചെയിനുകളില്ലാതെ ഡിസൈൻ നടപ്പിലാക്കുന്നു. add_sub പോർട്ട് ഉപയോഗിക്കാത്തപ്പോൾ മാത്രം Cyclone, Stratix, Stratix GX ഉപകരണങ്ങൾക്കായി ഈ പരാമീറ്റർ വ്യക്തമാക്കിയിരിക്കണം.
ഈ പരാമീറ്റർ മോഡലിംഗ്, ബിഹേവിയറൽ സിമുലേഷൻ ആവശ്യങ്ങൾക്കായി ഉപയോഗിക്കുന്നു. പാരാമീറ്റർ എഡിറ്റർ ഈ പരാമീറ്ററിന്റെ മൂല്യം കണക്കാക്കുന്നു.
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 25
683490 | 2020.10.05 ഫീഡ്ബാക്ക് അയയ്ക്കുക
6. LPM_COMPARE (താരതമ്യക്കാരൻ)
ചിത്രം 5.
LPM_COMPARE IP കോർ അവ തമ്മിലുള്ള ബന്ധം നിർണ്ണയിക്കാൻ രണ്ട് സെറ്റ് ഡാറ്റകളുടെ മൂല്യം താരതമ്യം ചെയ്യുന്നു. അതിന്റെ ഏറ്റവും ലളിതമായ രൂപത്തിൽ, രണ്ട് ബിറ്റുകൾ ഡാറ്റ തുല്യമാണോ എന്ന് നിർണ്ണയിക്കാൻ നിങ്ങൾക്ക് ഒരു എക്സ്ക്ലൂസീവ്-OR ഗേറ്റ് ഉപയോഗിക്കാം.
ഇനിപ്പറയുന്ന ചിത്രം LPM_COMPARE IP കോറിനുള്ള പോർട്ടുകൾ കാണിക്കുന്നു.
LPM_COMPARE പോർട്ടുകൾ
LPM_COMPARE
ക്ലെൻ
ആൽബ്
എഇബി
ഡാറ്റ[]
എജിബി
ഡാറ്റാബ്[]
ageb
ക്ലോക്ക്
അനേബ്
aclr
ആലെബ്
inst
6.1. സവിശേഷതകൾ
LPM_COMPARE IP കോർ ഇനിപ്പറയുന്ന സവിശേഷതകൾ വാഗ്ദാനം ചെയ്യുന്നു: · രണ്ട് സെറ്റ് ഡാറ്റ താരതമ്യം ചെയ്യാൻ ഒരു കംപാറേറ്റർ ഫംഗ്ഷൻ ജനറേറ്റുചെയ്യുന്നു · 1 ബിറ്റുകളുടെ ഡാറ്റ വീതിയെ പിന്തുണയ്ക്കുന്നു · ഒപ്പിട്ടതും ഒപ്പിടാത്തതും പോലുള്ള ഡാറ്റാ പ്രാതിനിധ്യ ഫോർമാറ്റിനെ പിന്തുണയ്ക്കുന്നു · ഇനിപ്പറയുന്ന ഔട്ട്പുട്ട് തരങ്ങൾ നിർമ്മിക്കുന്നു:
— alb (ഇൻപുട്ട് എ ഇൻപുട്ട് ബിയേക്കാൾ കുറവാണ്) — aeb (ഇൻപുട്ട് എ ഇൻപുട്ട് ബിക്ക് തുല്യമാണ്) — agb (ഇൻപുട്ട് എ ഇൻപുട്ട് ബിയേക്കാൾ വലുതാണ്) — ageb (ഇൻപുട്ട് എ ഇൻപുട്ട് ബിയെക്കാൾ വലുതോ തുല്യമോ ആണ്) — അനെബ് ( ഇൻപുട്ട് എ ഇൻപുട്ട് ബിക്ക് തുല്യമല്ല) — അലെബ് (ഇൻപുട്ട് എ ഇൻപുട്ട് ബിയേക്കാൾ കുറവോ തുല്യമോ ആണ്) · ഓപ്ഷണൽ അസിൻക്രണസ് ക്ലിയറും ക്ലോക്ക് പ്രവർത്തനക്ഷമമാക്കുന്ന ഇൻപുട്ട് പോർട്ടുകളും പിന്തുണയ്ക്കുന്നു · ഡാറ്റാബ്[] ഇൻപുട്ട് സ്ഥിരതയിലേക്ക് അസൈൻ ചെയ്യുന്നു · കോൺഫിഗർ ചെയ്യാവുന്ന ഔട്ട്പുട്ട് ലേറ്റൻസി ഉപയോഗിച്ച് പൈപ്പ്ലൈനിംഗ് പിന്തുണയ്ക്കുന്നു
ഇന്റൽ കോർപ്പറേഷൻ. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം. ഇന്റൽ, ഇന്റൽ ലോഗോ, മറ്റ് ഇന്റൽ മാർക്കുകൾ എന്നിവ ഇന്റൽ കോർപ്പറേഷന്റെയോ അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളുടെയോ വ്യാപാരമുദ്രകളാണ്. ഇന്റലിന്റെ സ്റ്റാൻഡേർഡ് വാറന്റിക്ക് അനുസൃതമായി അതിന്റെ FPGA, അർദ്ധചാലക ഉൽപ്പന്നങ്ങളുടെ പ്രകടനം നിലവിലെ സ്പെസിഫിക്കേഷനുകളിലേക്ക് Intel വാറന്റ് ചെയ്യുന്നു, എന്നാൽ അറിയിപ്പ് കൂടാതെ ഏത് സമയത്തും ഏത് ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം നിക്ഷിപ്തമാണ്. Intel രേഖാമൂലം രേഖാമൂലം സമ്മതിച്ചതല്ലാതെ ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങളുടെയോ ഉൽപ്പന്നത്തിന്റെയോ സേവനത്തിന്റെയോ ആപ്ലിക്കേഷനിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന ഉത്തരവാദിത്തമോ ബാധ്യതയോ Intel ഏറ്റെടുക്കുന്നില്ല. ഏതെങ്കിലും പ്രസിദ്ധീകരിച്ച വിവരങ്ങളെ ആശ്രയിക്കുന്നതിന് മുമ്പും ഉൽപ്പന്നങ്ങൾക്കോ സേവനങ്ങൾക്കോ ഓർഡറുകൾ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സവിശേഷതകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ഇന്റൽ ഉപഭോക്താക്കളോട് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.
ISO 9001:2015 രജിസ്റ്റർ ചെയ്തു
6. LPM_COMPARE (കംപാറേറ്റർ) 683490 | 2020.10.05
6.2 വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ്
ഇനിപ്പറയുന്ന വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ് വെരിലോഗ് ഡിസൈനിൽ സ്ഥിതിചെയ്യുന്നു File (.v) lpm.v എഡസിന്തസിസ് ഡയറക്ടറി.
മൊഡ്യൂൾ lpm_compare ( alb, aeb, agb, aleb, aneb, ageb, dataa, datab, clock, clken, aclr ); പാരാമീറ്റർ lpm_type = "lpm_compare"; പാരാമീറ്റർ lpm_width = 1; പാരാമീറ്റർ lpm_representation = "UNSIGNED"; പാരാമീറ്റർ lpm_pipeline = 0; പാരാമീറ്റർ lpm_hint = "ഉപയോഗിക്കാത്തത്"; ഇൻപുട്ട് [lpm_width-1:0] dataa, datab; ഇൻപുട്ട് ക്ലോക്ക്; ഇൻപുട്ട് ക്ലെൻ; ഇൻപുട്ട് aclr; ഔട്ട്പുട്ട് alb, aeb, agb, aleb, aneb, ageb; എൻഡ് മൊഡ്യൂൾ
6.3 VHDL ഘടക പ്രഖ്യാപനം
VHDL ഘടക പ്രഖ്യാപനം VHDL ഡിസൈനിലാണ് File (.vhd) LPM_PACK.vhd ലൈബ്രറികൾvhdllpm ഡയറക്ടറി.
ഘടകം LPM_COMPARE ജനറിക് (LPM_WIDTH : സ്വാഭാവികം;
LPM_REPRESENTATION : സ്ട്രിംഗ് := “അൺസൈൻ ചെയ്യാത്തത്”; LPM_PIPELINE : സ്വാഭാവികം := 0; LPM_TYPE: സ്ട്രിംഗ് := L_COMPARE; LPM_HINT : സ്ട്രിംഗ് := “ഉപയോഗിക്കാത്തത്”); പോർട്ട് (DATAA : in std_logic_vector(LPM_WIDTH-1 downto 0); DATAB : std_logic_vector (LPM_WIDTH-1 downto 0); ACLR : std_logic := '0'; CLOCK : std_logic := '0' ൽ; := '1'; AGB: ഔട്ട് std_logic; AGEB: ഔട്ട് std_logic; അവസാന ഘടകം;
6.4 VHDL LIBRARY_USE പ്രഖ്യാപനം
നിങ്ങൾ VHDL ഘടക പ്രഖ്യാപനം ഉപയോഗിക്കുകയാണെങ്കിൽ VHDL ലൈബ്രറി-ഉപയോഗ പ്രഖ്യാപനം ആവശ്യമില്ല.
ലൈബ്രറി lpm; lpm.lpm_components.all ഉപയോഗിക്കുക;
6.5. തുറമുഖങ്ങൾ
ഇനിപ്പറയുന്ന പട്ടികകൾ LMP_COMPARE IP കോറിനുള്ള ഇൻപുട്ട്, ഔട്ട്പുട്ട് പോർട്ടുകൾ ലിസ്റ്റ് ചെയ്യുന്നു.
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 27
6. LPM_COMPARE (കംപാറേറ്റർ) 683490 | 2020.10.05
പട്ടിക 18. LPM_COMPARE IP കോർ ഇൻപുട്ട് പോർട്ടുകൾ
പോർട്ട് നാമം
ആവശ്യമാണ്
വിവരണം
ഡാറ്റ[]
അതെ
ഡാറ്റ ഇൻപുട്ട്. ഇൻപുട്ട് പോർട്ടിന്റെ വലുപ്പം LPM_WIDTH പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു.
ഡാറ്റാബ്[]
അതെ
ഡാറ്റ ഇൻപുട്ട്. ഇൻപുട്ട് പോർട്ടിന്റെ വലുപ്പം LPM_WIDTH പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു.
ക്ലോക്ക്
ഇല്ല
പൈപ്പ്ലൈൻ ഉപയോഗത്തിനുള്ള ക്ലോക്ക് ഇൻപുട്ട്. ക്ലോക്ക് പോർട്ട് ഒരു പൈപ്പ്ലൈനിനുള്ള ക്ലോക്ക് ഇൻപുട്ട് നൽകുന്നു
ഓപ്പറേഷൻ. 0 ഒഴികെയുള്ള LPM_PIPELINE മൂല്യങ്ങൾക്ക് (സ്ഥിരസ്ഥിതി), ക്ലോക്ക് പോർട്ട് ആയിരിക്കണം
പ്രവർത്തനക്ഷമമാക്കി.
ക്ലെൻ
ഇല്ല
പൈപ്പ്ലൈൻ ഉപയോഗത്തിനായി ക്ലോക്ക് പ്രവർത്തനക്ഷമമാക്കുക. ക്ലെൻ തുറമുഖം ഉയർന്നതാണെന്ന് ഉറപ്പിക്കുമ്പോൾ,
താരതമ്യ പ്രവർത്തനം നടക്കുന്നു. സിഗ്നൽ കുറവാണെങ്കിൽ, ഒരു പ്രവർത്തനവും സംഭവിക്കുന്നില്ല. എങ്കിൽ
ഒഴിവാക്കി, സ്ഥിര മൂല്യം 1 ആണ്.
aclr
ഇല്ല
പൈപ്പ്ലൈൻ ഉപയോഗത്തിന് അസിൻക്രണസ് ക്ലിയർ. നിർവചിക്കാത്ത (X) ലോജിക്കിലേക്ക് പൈപ്പ്ലൈൻ ആരംഭിക്കുന്നു
നില. പൈപ്പ്ലൈൻ എല്ലാ 0 സെക്കുകളിലും പുനഃസജ്ജമാക്കാൻ aclr പോർട്ട് എപ്പോൾ വേണമെങ്കിലും ഉപയോഗിക്കാം,
ക്ലോക്ക് സിഗ്നലിലേക്ക് അസമന്വിതമായി.
പട്ടിക 19. LPM_COMPARE IP കോർ ഔട്ട്പുട്ട് പോർട്ടുകൾ
പോർട്ട് നാമം
ആവശ്യമാണ്
വിവരണം
ആൽബ്
ഇല്ല
താരതമ്യത്തിനുള്ള ഔട്ട്പുട്ട് പോർട്ട്. ഇൻപുട്ട് എ ഇൻപുട്ട് ബിയേക്കാൾ കുറവാണെങ്കിൽ ഉറപ്പുനൽകുന്നു.
എഇബി
ഇല്ല
താരതമ്യത്തിനുള്ള ഔട്ട്പുട്ട് പോർട്ട്. ഇൻപുട്ട് എ ഇൻപുട്ട് ബിക്ക് തുല്യമാണെങ്കിൽ ഉറപ്പിച്ചു.
എജിബി
ഇല്ല
താരതമ്യത്തിനുള്ള ഔട്ട്പുട്ട് പോർട്ട്. ഇൻപുട്ട് എ ഇൻപുട്ട് ബിയേക്കാൾ വലുതാണെങ്കിൽ വാദിക്കുന്നു.
ageb
ഇല്ല
താരതമ്യത്തിനുള്ള ഔട്ട്പുട്ട് പോർട്ട്. ഇൻപുട്ട് എ ഇൻപുട്ടിനേക്കാൾ വലുതോ തുല്യമോ ആണെങ്കിൽ വാദിക്കുന്നു
B.
അനേബ്
ഇല്ല
താരതമ്യത്തിനുള്ള ഔട്ട്പുട്ട് പോർട്ട്. ഇൻപുട്ട് എ ഇൻപുട്ട് ബിക്ക് തുല്യമല്ലെങ്കിൽ വാദിക്കുന്നു.
ആലെബ്
ഇല്ല
താരതമ്യത്തിനുള്ള ഔട്ട്പുട്ട് പോർട്ട്. ഇൻപുട്ട് എ ഇൻപുട്ട് ബിയേക്കാൾ കുറവോ തുല്യമോ ആണെങ്കിൽ വാദിക്കുന്നു.
6.6. പാരാമീറ്ററുകൾ
ഇനിപ്പറയുന്ന പട്ടിക LPM_COMPARE IP കോറിനുള്ള പാരാമീറ്ററുകൾ പട്ടികപ്പെടുത്തുന്നു.
പട്ടിക 20. LPM_COMPARE IP കോർ പാരാമീറ്ററുകൾ
പാരാമീറ്ററിൻ്റെ പേര്
ടൈപ്പ് ചെയ്യുക
ആവശ്യമാണ്
LPM_WIDTH
പൂർണ്ണസംഖ്യ അതെ
LPM_REPRESENTATION
സ്ട്രിംഗ്
ഇല്ല
LPM_PIPELINE
പൂർണ്ണസംഖ്യ നമ്പർ
LPM_HINT
സ്ട്രിംഗ്
ഇല്ല
വിവരണം
ഡാറ്റാ[], ഡാറ്റാബ്[] പോർട്ടുകളുടെ വീതി വ്യക്തമാക്കുന്നു.
നടത്തിയ താരതമ്യത്തിന്റെ തരം വ്യക്തമാക്കുന്നു. മൂല്യങ്ങൾ ഒപ്പിട്ടതും അൺസൈൻ ചെയ്യാത്തതുമാണ്. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം അൺസൈൻ ചെയ്യാത്തതാണ്. ഈ പാരാമീറ്റർ മൂല്യം SIGNED ആയി സജ്ജീകരിക്കുമ്പോൾ, താരതമ്യപ്പെടുത്തുന്നയാൾ ഡാറ്റ ഇൻപുട്ടിനെ സൈൻ ചെയ്ത രണ്ടിന്റെ പൂരകമായി വ്യാഖ്യാനിക്കുന്നു.
alb, aeb, agb, ageb, aleb, അല്ലെങ്കിൽ aneb ഔട്ട്പുട്ടുമായി ബന്ധപ്പെട്ട ലേറ്റൻസിയുടെ ക്ലോക്ക് സൈക്കിളുകളുടെ എണ്ണം വ്യക്തമാക്കുന്നു. പൂജ്യത്തിന്റെ (0) മൂല്യം സൂചിപ്പിക്കുന്നത് ലേറ്റൻസി നിലവിലില്ലെന്നും പൂർണ്ണമായും കോമ്പിനേഷൻ ഫംഗ്ഷൻ ഉടനടി സംഭവിക്കുമെന്നും. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം 0 ആണ് (പൈപ്പ്ലൈൻ ചെയ്യാത്തത്).
VHDL ഡിസൈനിൽ ഇന്റൽ-നിർദ്ദിഷ്ട പാരാമീറ്ററുകൾ വ്യക്തമാക്കാൻ നിങ്ങളെ അനുവദിക്കുന്നു files (.vhd). സ്ഥിര മൂല്യം UNUSED ആണ്.
തുടർന്നു…
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 28
ഫീഡ്ബാക്ക് അയയ്ക്കുക
6. LPM_COMPARE (കംപാറേറ്റർ) 683490 | 2020.10.05
പാരാമീറ്ററിന്റെ പേര് LPM_TYPE INTENDED_DEVICE_FAMILY
ONE_INPUT_IS_CONSTANT
സ്ട്രിംഗ് സ്ട്രിംഗ് എന്ന് ടൈപ്പ് ചെയ്യുക
സ്ട്രിംഗ്
ആവശ്യമായ No No
ഇല്ല
വിവരണം
VHDL ഡിസൈനിലെ പാരാമീറ്ററൈസ്ഡ് മൊഡ്യൂളുകളുടെ (LPM) എന്റിറ്റി നാമത്തിന്റെ ലൈബ്രറി തിരിച്ചറിയുന്നു files.
ഈ പരാമീറ്റർ മോഡലിംഗ്, ബിഹേവിയറൽ സിമുലേഷൻ ആവശ്യങ്ങൾക്കായി ഉപയോഗിക്കുന്നു. പാരാമീറ്റർ എഡിറ്റർ ഈ പരാമീറ്ററിന്റെ മൂല്യം കണക്കാക്കുന്നു.
ഇന്റൽ-നിർദ്ദിഷ്ട പാരാമീറ്റർ. VHDL ഡിസൈനിലെ ONE_INPUT_IS_CONSTANT പാരാമീറ്റർ വ്യക്തമാക്കാൻ നിങ്ങൾ LPM_HINT പാരാമീറ്റർ ഉപയോഗിക്കണം fileഎസ്. മൂല്യങ്ങൾ അതെ, ഇല്ല, അല്ലെങ്കിൽ ഉപയോഗിക്കാത്തതാണ്. ഒരു ഇൻപുട്ട് സ്ഥിരമാണെങ്കിൽ കൂടുതൽ ഒപ്റ്റിമൈസേഷൻ നൽകുന്നു. ഒഴിവാക്കിയാൽ, ഡിഫോൾട്ട് മൂല്യം NO ആണ്.
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 29
683490 | 2020.10.05 ഫീഡ്ബാക്ക് അയയ്ക്കുക
7. ALTECC (പിശക് തിരുത്തൽ കോഡ്: എൻകോഡർ/ഡീകോഡർ) IP കോർ
ചിത്രം 6.
ECC ഫംഗ്ഷണാലിറ്റി നടപ്പിലാക്കുന്നതിനായി ഇന്റൽ ALTECC IP കോർ നൽകുന്നു. ഡാറ്റാ ട്രാൻസ്മിഷൻ സമയത്ത് റിസീവർ ഭാഗത്ത് സംഭവിക്കുന്ന കേടായ ഡാറ്റ ECC കണ്ടെത്തുന്നു. പൊട്ടിത്തെറികളേക്കാൾ ക്രമരഹിതമായി പിശകുകൾ സംഭവിക്കുന്ന സാഹചര്യങ്ങൾക്ക് ഈ പിശക് തിരുത്തൽ രീതി ഏറ്റവും അനുയോജ്യമാണ്.
ഡാറ്റ എൻകോഡിംഗും ഡീകോഡിംഗും വഴി ECC പിശകുകൾ കണ്ടെത്തുന്നു. ഉദാample, ഒരു ട്രാൻസ്മിഷൻ ആപ്ലിക്കേഷനിൽ ECC പ്രയോഗിക്കുമ്പോൾ, ഉറവിടത്തിൽ നിന്ന് വായിച്ച ഡാറ്റ റിസീവറിലേക്ക് അയയ്ക്കുന്നതിന് മുമ്പ് എൻകോഡ് ചെയ്യപ്പെടും. എൻകോഡറിൽ നിന്നുള്ള ഔട്ട്പുട്ടിൽ (കോഡ് വേഡ്) പാരിറ്റി ബിറ്റുകളുടെ എണ്ണത്തിനൊപ്പം ചേർത്തിട്ടുള്ള റോ ഡാറ്റ അടങ്ങിയിരിക്കുന്നു. ചേർത്തിരിക്കുന്ന പാരിറ്റി ബിറ്റുകളുടെ കൃത്യമായ എണ്ണം ഇൻപുട്ട് ഡാറ്റയിലെ ബിറ്റുകളുടെ എണ്ണത്തെ ആശ്രയിച്ചിരിക്കുന്നു. ജനറേറ്റ് ചെയ്ത കോഡ് വാക്ക് ലക്ഷ്യസ്ഥാനത്തേക്ക് കൈമാറും.
റിസീവർ കോഡ് വാക്ക് സ്വീകരിക്കുകയും അത് ഡീകോഡ് ചെയ്യുകയും ചെയ്യുന്നു. ഡീകോഡർ വഴി ലഭിച്ച വിവരങ്ങൾ ഒരു പിശക് കണ്ടെത്തിയോ എന്ന് നിർണ്ണയിക്കുന്നു. ഡീകോഡർ സിംഗിൾ-ബിറ്റ്, ഡബിൾ-ബിറ്റ് പിശകുകൾ കണ്ടെത്തുന്നു, പക്ഷേ കേടായ ഡാറ്റയിലെ സിംഗിൾ-ബിറ്റ് പിശകുകൾ മാത്രമേ പരിഹരിക്കാനാകൂ. ഇത്തരത്തിലുള്ള ECC ഒറ്റ പിശക് തിരുത്തൽ ഇരട്ട പിശക് കണ്ടെത്തൽ (SECDED) ആണ്.
നിങ്ങൾക്ക് ALTECC IP കോറിന്റെ എൻകോഡർ, ഡീകോഡർ ഫംഗ്ഷനുകൾ ക്രമീകരിക്കാൻ കഴിയും. ഡാറ്റ ഇൻപുട്ടിന്റെയും ജനറേറ്റഡ് പാരിറ്റി ബിറ്റുകളുടെയും സംയോജനമായ ഒരു കോഡ് വേഡ് ജനറേറ്റുചെയ്യാൻ എൻകോഡറിലേക്കുള്ള ഡാറ്റ ഇൻപുട്ട് എൻകോഡ് ചെയ്തിരിക്കുന്നു. ജനറേറ്റുചെയ്ത കോഡ് വാക്ക് അതിന്റെ ഡെസ്റ്റിനേഷൻ ബ്ലോക്കിൽ എത്തുന്നതിന് തൊട്ടുമുമ്പ് ഡീകോഡിംഗിനായി ഡീകോഡർ മൊഡ്യൂളിലേക്ക് കൈമാറുന്നു. ലഭിച്ച കോഡ് പദത്തിൽ എന്തെങ്കിലും പിശക് ഉണ്ടോ എന്ന് നിർണ്ണയിക്കാൻ ഡീകോഡർ ഒരു സിൻഡ്രോം വെക്റ്റർ സൃഷ്ടിക്കുന്നു. സിംഗിൾ-ബിറ്റ് പിശക് ഡാറ്റ ബിറ്റുകളിൽ നിന്നാണെങ്കിൽ മാത്രമേ ഡീകോഡർ ഡാറ്റ ശരിയാക്കൂ. സിംഗിൾ-ബിറ്റ് പിശക് പാരിറ്റി ബിറ്റുകളിൽ നിന്നാണെങ്കിൽ ഒരു സിഗ്നലും ഫ്ലാഗ് ചെയ്യപ്പെടുന്നില്ല. ലഭിച്ച ഡാറ്റയുടെ സ്റ്റാറ്റസും ഡീകോഡർ സ്വീകരിച്ച നടപടിയും കാണിക്കുന്നതിനുള്ള ഫ്ലാഗ് സിഗ്നലുകളും ഡീകോഡറിൽ ഉണ്ട്.
ഇനിപ്പറയുന്ന കണക്കുകൾ ALTECC IP കോറിനുള്ള പോർട്ടുകൾ കാണിക്കുന്നു.
ALTECC എൻകോഡർ പോർട്ടുകൾ
ALTECC_ENCODER
ഡാറ്റ[]
ക്യു[]
ക്ലോക്ക്
ക്ലോക്കൻ
aclr
inst
ഇന്റൽ കോർപ്പറേഷൻ. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം. ഇന്റൽ, ഇന്റൽ ലോഗോ, മറ്റ് ഇന്റൽ മാർക്കുകൾ എന്നിവ ഇന്റൽ കോർപ്പറേഷന്റെയോ അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളുടെയോ വ്യാപാരമുദ്രകളാണ്. ഇന്റലിന്റെ സ്റ്റാൻഡേർഡ് വാറന്റിക്ക് അനുസൃതമായി അതിന്റെ FPGA, അർദ്ധചാലക ഉൽപ്പന്നങ്ങളുടെ പ്രകടനം നിലവിലെ സ്പെസിഫിക്കേഷനുകളിലേക്ക് Intel വാറന്റ് ചെയ്യുന്നു, എന്നാൽ അറിയിപ്പ് കൂടാതെ ഏത് സമയത്തും ഏത് ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം നിക്ഷിപ്തമാണ്. Intel രേഖാമൂലം രേഖാമൂലം സമ്മതിച്ചതല്ലാതെ ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങളുടെയോ ഉൽപ്പന്നത്തിന്റെയോ സേവനത്തിന്റെയോ ആപ്ലിക്കേഷനിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന ഉത്തരവാദിത്തമോ ബാധ്യതയോ Intel ഏറ്റെടുക്കുന്നില്ല. ഏതെങ്കിലും പ്രസിദ്ധീകരിച്ച വിവരങ്ങളെ ആശ്രയിക്കുന്നതിന് മുമ്പും ഉൽപ്പന്നങ്ങൾക്കോ സേവനങ്ങൾക്കോ ഓർഡറുകൾ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സവിശേഷതകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ഇന്റൽ ഉപഭോക്താക്കളോട് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.
ISO 9001:2015 രജിസ്റ്റർ ചെയ്തു
7. ALTECC (പിശക് തിരുത്തൽ കോഡ്: എൻകോഡർ/ഡീകോഡർ) IP കോർ 683490 | 2020.10.05
ചിത്രം 7. ALTECC ഡീകോഡർ പോർട്ടുകൾ
ALTECC_DECODER
ഡാറ്റ[] ക്ലോക്ക് ക്ലോക്കൺ
q[] പിശക്_കണ്ടെത്തൽ err_corrected
തെറ്റ്_മാരകമായ
aclr
inst
7.1 ALTECC എൻകോഡർ സവിശേഷതകൾ
ALTECC എൻകോഡർ IP കോർ ഇനിപ്പറയുന്ന സവിശേഷതകൾ വാഗ്ദാനം ചെയ്യുന്നു: · ഹാമിംഗ് കോഡിംഗ് സ്കീം ഉപയോഗിച്ച് ഡാറ്റ എൻകോഡിംഗ് നടത്തുന്നു · 2 ബിറ്റ് ഡാറ്റ വീതിയെ പിന്തുണയ്ക്കുന്നു അസിൻക്രണസ് ക്ലിയർ, ക്ലോക്ക് പോർട്ടുകൾ പ്രവർത്തനക്ഷമമാക്കുന്നു
ALTECC എൻകോഡർ ഐപി കോർ ഹാമിംഗ് കോഡിംഗ് സ്കീം ഉപയോഗിച്ച് ഡാറ്റ എടുക്കുകയും എൻകോഡ് ചെയ്യുകയും ചെയ്യുന്നു. ഹാമിംഗ് കോഡിംഗ് സ്കീം പാരിറ്റി ബിറ്റുകൾ ഉത്പാദിപ്പിക്കുകയും ഔട്ട്പുട്ട് കോഡ് വേഡ് നിർമ്മിക്കുന്നതിന് യഥാർത്ഥ ഡാറ്റയിലേക്ക് അവയെ കൂട്ടിച്ചേർക്കുകയും ചെയ്യുന്നു. ചേർത്തിരിക്കുന്ന പാരിറ്റി ബിറ്റുകളുടെ എണ്ണം ഡാറ്റയുടെ വീതിയെ ആശ്രയിച്ചിരിക്കുന്നു.
ഡാറ്റ വീതിയുടെ വ്യത്യസ്ത ശ്രേണികൾക്കായി ചേർത്ത പാരിറ്റി ബിറ്റുകളുടെ എണ്ണം ഇനിപ്പറയുന്ന പട്ടിക പട്ടികപ്പെടുത്തുന്നു. ടോട്ടൽ ബിറ്റ്സ് കോളം ഇൻപുട്ട് ഡാറ്റ ബിറ്റുകളുടെയും അനുബന്ധ പാരിറ്റി ബിറ്റുകളുടെയും ആകെ എണ്ണത്തെ പ്രതിനിധീകരിക്കുന്നു.
പട്ടിക 21.
ഡാറ്റ വിഡ്ത്ത് അനുസരിച്ച് പാരിറ്റി ബിറ്റുകളുടെയും കോഡ് വേഡിന്റെയും എണ്ണം
ഡാറ്റ വീതി
പാരിറ്റി ബിറ്റുകളുടെ എണ്ണം
ആകെ ബിറ്റുകൾ (കോഡ് വേഡ്)
2-4
3+1
6-8
5-11
4+1
10-16
12-26
5+1
18-32
27-57
6+1
34-64
58-64
7+1
66-72
പാരിറ്റി ബിറ്റ് ഡെറിവേഷൻ ഒരു ഇരട്ട-പാരിറ്റി ചെക്കിംഗ് ഉപയോഗിക്കുന്നു. അധിക 1 ബിറ്റ് (പട്ടികയിൽ +1 ആയി കാണിച്ചിരിക്കുന്നു) കോഡ് പദത്തിന്റെ MSB ആയി പാരിറ്റി ബിറ്റുകളിലേക്ക് ചേർക്കുന്നു. കോഡ് പദത്തിന് 1 ന്റെ ഇരട്ട സംഖ്യയുണ്ടെന്ന് ഇത് ഉറപ്പാക്കുന്നു. ഉദാample, ഡാറ്റ വീതി 4 ബിറ്റുകൾ ആണെങ്കിൽ, 4 പാരിറ്റി ബിറ്റുകൾ ഡാറ്റയിൽ കൂട്ടിച്ചേർക്കും, മൊത്തം 8 ബിറ്റുകൾ ഉള്ള ഒരു കോഡ് പദമായി മാറും. 7-ബിറ്റ് കോഡ് പദത്തിന്റെ LSB-യിൽ നിന്നുള്ള 8 ബിറ്റുകൾക്ക് 1-ന്റെ ഒറ്റസംഖ്യയുണ്ടെങ്കിൽ, കോഡ് പദത്തിന്റെ 8-ാമത്തെ ബിറ്റ് (MSB) 1 ആണ്, കോഡ് പദത്തിലെ 1-ന്റെ ആകെ സംഖ്യയെ ഇരട്ടിയാക്കുന്നു.
ഇനിപ്പറയുന്ന ചിത്രം ജനറേറ്റുചെയ്ത കോഡ് പദവും 8-ബിറ്റ് ഡാറ്റ ഇൻപുട്ടിലെ പാരിറ്റി ബിറ്റുകളുടെയും ഡാറ്റ ബിറ്റുകളുടെയും ക്രമീകരണവും കാണിക്കുന്നു.
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 31
7. ALTECC (പിശക് തിരുത്തൽ കോഡ്: എൻകോഡർ/ഡീകോഡർ) IP കോർ 683490 | 2020.10.05
ചിത്രം 8.
8-ബിറ്റ് ജനറേറ്റഡ് കോഡ് വേഡിൽ പാരിറ്റി ബിറ്റുകളും ഡാറ്റ ബിറ്റുകളും ക്രമീകരിക്കുക
എം.എസ്.ബി.
എൽ.എസ്.ബി
4 പാരിറ്റി ബിറ്റുകൾ
4 ഡാറ്റ ബിറ്റുകൾ
8
1
ALTECC എൻകോഡർ IP കോർ ഒരു സമയം 2 മുതൽ 64 ബിറ്റുകൾ വരെയുള്ള ഇൻപുട്ട് വീതി മാത്രമേ സ്വീകരിക്കുകയുള്ളൂ. ഇന്റൽ ഉപകരണങ്ങൾക്ക് അനുയോജ്യമായ 12 ബിറ്റുകൾ, 29 ബിറ്റുകൾ, 64 ബിറ്റുകൾ എന്നിവയുടെ ഇൻപുട്ട് വീതി യഥാക്രമം 18 ബിറ്റുകൾ, 36 ബിറ്റുകൾ, 72 ബിറ്റുകൾ എന്നിവയുടെ ഔട്ട്പുട്ടുകൾ സൃഷ്ടിക്കുന്നു. പാരാമീറ്റർ എഡിറ്ററിൽ നിങ്ങൾക്ക് ബിറ്റ്സെലക്ഷൻ പരിധി നിയന്ത്രിക്കാനാകും.
7.2 വെരിലോഗ് HDL പ്രോട്ടോടൈപ്പ് (ALTECC_ENCODER)
ഇനിപ്പറയുന്ന വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ് വെരിലോഗ് ഡിസൈനിൽ സ്ഥിതിചെയ്യുന്നു File (.v) lpm.v എഡസിന്തസിസ് ഡയറക്ടറി.
module altecc_encoder #( parameter intended_device_family = "unused", പരാമീറ്റർ lpm_pipeline = 0, പാരാമീറ്റർ വീതി_കോഡ്വേഡ് = 8, പരാമീറ്റർ വീതി_ഡാറ്റവേഡ് = 8, പരാമീറ്റർ lpm_type = "altecc_encoder", പരാമീറ്റർ lpm_type = "altecc_encoder", പാരാമീറ്റർ lpm_hint ഇൻപുട്ട്, വയർ ഇൻപുട്ട്, ക്ലോക്ക് ഇൻപുട്ട്, ക്ലോക്ക് ഇൻപുട്ട് വയർ ക്ലോക്കൻ, ഇൻപുട്ട് വയർ [width_dataword-1:0] ഡാറ്റ, ഔട്ട്പുട്ട് വയർ [width_codeword-1:0] q); എൻഡ് മൊഡ്യൂൾ
7.3 വെരിലോഗ് HDL പ്രോട്ടോടൈപ്പ് (ALTECC_DECODER)
ഇനിപ്പറയുന്ന വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ് വെരിലോഗ് ഡിസൈനിൽ സ്ഥിതിചെയ്യുന്നു File (.v) lpm.v എഡസിന്തസിസ് ഡയറക്ടറി.
module altecc_decoder #( parameter intended_device_family = "unused", പരാമീറ്റർ lpm_pipeline = 0, പാരാമീറ്റർ വീതി_കോഡ്വേഡ് = 8, പരാമീറ്റർ വീതി_ഡാറ്റവേഡ് = 8, പരാമീറ്റർ lpm_type = "altecc_decoder", പാരാമീറ്റർ lpm_type = "altecc_decoder", പാരാമീറ്റർ lpm_hint ഇൻപുട്ട്, വയർ ഇൻപുട്ട്, ക്ലോക്ക് ഇൻപുട്ട്, ക്ലോക്ക് ഇൻപുട്ട് വയർ ക്ലോക്കൻ, ഇൻപുട്ട് വയർ [width_codeword-1:0] ഡാറ്റ, ഔട്ട്പുട്ട് വയർ err_corrected, output wire err_detected, outut wire err_fatal, output wire [width_dataword-1:0] q); എൻഡ് മൊഡ്യൂൾ
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 32
ഫീഡ്ബാക്ക് അയയ്ക്കുക
7. ALTECC (പിശക് തിരുത്തൽ കോഡ്: എൻകോഡർ/ഡീകോഡർ) IP കോർ 683490 | 2020.10.05
7.4 VHDL ഘടക പ്രഖ്യാപനം (ALTECC_ENCODER)
VHDL ഘടക പ്രഖ്യാപനം VHDL ഡിസൈനിലാണ് File (.vhd) altera_mf_components.vhd ൽ librariesvhdlaltera_mf ഡയറക്ടറി.
ഘടകഭാഗം altecc_encoder generic ( intended_device_family:string := “unused”; lpm_pipeline:natural := 0; width_codeword:natural := 8; width_dataword:natural := 8; lpm_hint:string := “UNUSED_coder”; lpm_hint ”); പോർട്ട് (aclr:in std_logic := '0'; clock:in std_logic := '0'; clocken:in std_logic := '1'; ഡാറ്റ: std_logic_vector(width_dataword-1 downto 0); q:out std_logic_vector(widd_word -1 മുതൽ 0 വരെ)); അവസാന ഘടകം;
7.5 VHDL ഘടക പ്രഖ്യാപനം (ALTECC_DECODER)
VHDL ഘടക പ്രഖ്യാപനം VHDL ഡിസൈനിലാണ് File (.vhd) altera_mf_components.vhd ൽ librariesvhdlaltera_mf ഡയറക്ടറി.
ഘടകഭാഗം altecc_decoder generic ( intended_device_family:string := “unused”; lpm_pipeline:natural := 0; width_codeword:natural := 8; width_dataword:natural := 8; lpm_hint:string := “UND_USED”; lpm_hint ”); പോർട്ട് (aclr:in std_logic := '0'; clock:in std_logic := '0'; clocken:in std_logic := '1'; ഡാറ്റ: std_logic_vector (width_codeword-1 downto 0); err_corrected : out std_logic; : ഔട്ട് std_logic; q: out std_logic_vector (width_dataword-1 downto 0); syn_e : out std_logic); അവസാന ഘടകം;
7.6 VHDL LIBRARY_USE പ്രഖ്യാപനം
നിങ്ങൾ VHDL ഘടക പ്രഖ്യാപനം ഉപയോഗിക്കുകയാണെങ്കിൽ VHDL ലൈബ്രറി-ഉപയോഗ പ്രഖ്യാപനം ആവശ്യമില്ല.
ലൈബ്രറി altera_mf; altera_mf.altera_mf_components.all ഉപയോഗിക്കുക;
7.7 എൻകോഡർ പോർട്ടുകൾ
ഇനിപ്പറയുന്ന പട്ടികകൾ ALTECC എൻകോഡർ IP കോറിനുള്ള ഇൻപുട്ട്, ഔട്ട്പുട്ട് പോർട്ടുകൾ ലിസ്റ്റ് ചെയ്യുന്നു.
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 33
7. ALTECC (പിശക് തിരുത്തൽ കോഡ്: എൻകോഡർ/ഡീകോഡർ) IP കോർ 683490 | 2020.10.05
പട്ടിക 22. ALTECC എൻകോഡർ ഇൻപുട്ട് പോർട്ടുകൾ
പോർട്ട് നാമം
ആവശ്യമാണ്
വിവരണം
ഡാറ്റ[]
അതെ
ഡാറ്റ ഇൻപുട്ട് പോർട്ട്. ഇൻപുട്ട് പോർട്ടിന്റെ വലുപ്പം WIDTH_DATAWORD-നെ ആശ്രയിച്ചിരിക്കുന്നു
പാരാമീറ്റർ മൂല്യം. ഡാറ്റ[] പോർട്ടിൽ എൻകോഡ് ചെയ്യേണ്ട റോ ഡാറ്റ അടങ്ങിയിരിക്കുന്നു.
ക്ലോക്ക്
അതെ
എൻകോഡിംഗ് പ്രവർത്തനം സമന്വയിപ്പിക്കുന്നതിന് ക്ലോക്ക് സിഗ്നൽ നൽകുന്ന ക്ലോക്ക് ഇൻപുട്ട് പോർട്ട്.
LPM_PIPELINE മൂല്യം 0-ൽ കൂടുതലായിരിക്കുമ്പോൾ ക്ലോക്ക് പോർട്ട് ആവശ്യമാണ്.
ക്ലോക്കൻ
ഇല്ല
ക്ലോക്ക് പ്രവർത്തനക്ഷമമാക്കുക. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം 1 ആണ്.
aclr
ഇല്ല
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ട്. സജീവമായ ഉയർന്ന aclr സിഗ്നൽ ഏത് സമയത്തും ഉപയോഗിക്കാനാകും
രജിസ്റ്ററുകൾ അസമന്വിതമായി മായ്ക്കുക.
പട്ടിക 23. ALTECC എൻകോഡർ ഔട്ട്പുട്ട് പോർട്ടുകൾ
തുറമുഖത്തിന്റെ പേര് q[]
ആവശ്യമാണ് അതെ
വിവരണം
എൻകോഡ് ചെയ്ത ഡാറ്റ ഔട്ട്പുട്ട് പോർട്ട്. ഔട്ട്പുട്ട് പോർട്ടിന്റെ വലുപ്പം WIDTH_CODEWORD പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു.
7.8 ഡീകോഡർ പോർട്ടുകൾ
ഇനിപ്പറയുന്ന പട്ടികകൾ ALTECC ഡീകോഡർ IP കോറിനുള്ള ഇൻപുട്ട്, ഔട്ട്പുട്ട് പോർട്ടുകൾ ലിസ്റ്റ് ചെയ്യുന്നു.
പട്ടിക 24. ALTECC ഡീകോഡർ ഇൻപുട്ട് പോർട്ടുകൾ
പോർട്ട് നാമം
ആവശ്യമാണ്
വിവരണം
ഡാറ്റ[]
അതെ
ഡാറ്റ ഇൻപുട്ട് പോർട്ട്. ഇൻപുട്ട് പോർട്ടിന്റെ വലുപ്പം WIDTH_CODEWORD പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു.
ക്ലോക്ക്
അതെ
എൻകോഡിംഗ് പ്രവർത്തനം സമന്വയിപ്പിക്കുന്നതിന് ക്ലോക്ക് സിഗ്നൽ നൽകുന്ന ക്ലോക്ക് ഇൻപുട്ട് പോർട്ട്. LPM_PIPELINE മൂല്യം 0-ൽ കൂടുതലായിരിക്കുമ്പോൾ ക്ലോക്ക് പോർട്ട് ആവശ്യമാണ്.
ക്ലോക്കൻ
ഇല്ല
ക്ലോക്ക് പ്രവർത്തനക്ഷമമാക്കുക. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം 1 ആണ്.
aclr
ഇല്ല
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ട്. രജിസ്റ്ററുകൾ അസമന്വിതമായി മായ്ക്കാൻ സജീവമായ ഉയർന്ന aclr സിഗ്നൽ എപ്പോൾ വേണമെങ്കിലും ഉപയോഗിക്കാം.
പട്ടിക 25. ALTECC ഡീകോഡർ ഔട്ട്പുട്ട് പോർട്ടുകൾ
തുറമുഖത്തിന്റെ പേര് q[]
ആവശ്യമാണ് അതെ
വിവരണം
ഡീകോഡ് ചെയ്ത ഡാറ്റ ഔട്ട്പുട്ട് പോർട്ട്. ഔട്ട്പുട്ട് പോർട്ടിന്റെ വലുപ്പം WIDTH_DATAWORD പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു.
പിശക്_കണ്ടെത്തി അതെ
ലഭിച്ച ഡാറ്റയുടെ സ്റ്റാറ്റസ് പ്രതിഫലിപ്പിക്കുന്നതിനുള്ള ഫ്ലാഗ് സിഗ്നൽ, കണ്ടെത്തിയ പിശകുകൾ വ്യക്തമാക്കുന്നു.
err_correcte അതെ ഡി
ലഭിച്ച ഡാറ്റയുടെ നില പ്രതിഫലിപ്പിക്കുന്നതിന് ഫ്ലാഗ് സിഗ്നൽ. സിംഗിൾ-ബിറ്റ് പിശക് കണ്ടെത്തി തിരുത്തിയതിനെ സൂചിപ്പിക്കുന്നു. ഇതിനകം തന്നെ തിരുത്തിയതിനാൽ നിങ്ങൾക്ക് ഡാറ്റ ഉപയോഗിക്കാം.
തെറ്റ്_മാരകമായ
അതെ
ലഭിച്ച ഡാറ്റയുടെ നില പ്രതിഫലിപ്പിക്കുന്നതിന് ഫ്ലാഗ് സിഗ്നൽ. ഇരട്ട-ബിറ്റ് പിശക് കണ്ടെത്തിയതിനെ സൂചിപ്പിക്കുന്നു, പക്ഷേ തിരുത്തിയിട്ടില്ല. ഈ സിഗ്നൽ ഉറപ്പിച്ചാൽ നിങ്ങൾ ഡാറ്റ ഉപയോഗിക്കരുത്.
syn_e
ഇല്ല
പാരിറ്റിയിൽ ഒരു സിംഗിൾ-ബിറ്റ് പിശക് കണ്ടെത്തുമ്പോഴെല്ലാം ഉയർന്ന് പോകുന്ന ഒരു ഔട്ട്പുട്ട് സിഗ്നൽ
ബിറ്റുകൾ.
7.9 എൻകോഡർ പാരാമീറ്ററുകൾ
ഇനിപ്പറയുന്ന പട്ടിക ALTECC എൻകോഡർ IP കോറിന്റെ പാരാമീറ്ററുകൾ പട്ടികപ്പെടുത്തുന്നു.
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 34
ഫീഡ്ബാക്ക് അയയ്ക്കുക
7. ALTECC (പിശക് തിരുത്തൽ കോഡ്: എൻകോഡർ/ഡീകോഡർ) IP കോർ 683490 | 2020.10.05
പട്ടിക 26. ALTECC എൻകോഡർ പാരാമീറ്ററുകൾ
പാരാമീറ്ററിൻ്റെ പേര്
ടൈപ്പ് ചെയ്യുക
ആവശ്യമാണ്
വിവരണം
WIDTH_DATAWORD
പൂർണ്ണസംഖ്യ അതെ
റോ ഡാറ്റയുടെ വീതി വ്യക്തമാക്കുന്നു. മൂല്യങ്ങൾ 2 മുതൽ 64 വരെയാണ്. ഒഴിവാക്കിയാൽ, ഡിഫോൾട്ട് മൂല്യം 8 ആണ്.
WIDTH_CODEWORD
പൂർണ്ണസംഖ്യ അതെ
അനുബന്ധ കോഡ് പദത്തിന്റെ വീതി വ്യക്തമാക്കുന്നു. 6, 72, 9, 17 എന്നിവ ഒഴികെ 33 മുതൽ 65 വരെയാണ് സാധുവായ മൂല്യങ്ങൾ. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം 13 ആണ്.
LPM_PIPELINE
പൂർണ്ണസംഖ്യ നമ്പർ
സർക്യൂട്ടിനുള്ള പൈപ്പ്ലൈൻ വ്യക്തമാക്കുന്നു. മൂല്യങ്ങൾ 0 മുതൽ 2 വരെയാണ്. മൂല്യം 0 ആണെങ്കിൽ, പോർട്ടുകൾ രജിസ്റ്റർ ചെയ്തിട്ടില്ല. മൂല്യം 1 ആണെങ്കിൽ, ഔട്ട്പുട്ട് പോർട്ടുകൾ രജിസ്റ്റർ ചെയ്യപ്പെടും. മൂല്യം 2 ആണെങ്കിൽ, ഇൻപുട്ട്, ഔട്ട്പുട്ട് പോർട്ടുകൾ രജിസ്റ്റർ ചെയ്യപ്പെടും. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം 0 ആണ്.
7.10 ഡീകോഡർ പാരാമീറ്ററുകൾ
ഇനിപ്പറയുന്ന പട്ടിക ALTECC ഡീകോഡർ IP കോർ പാരാമീറ്ററുകൾ പട്ടികപ്പെടുത്തുന്നു.
പട്ടിക 27. ALTECC ഡീകോഡർ പാരാമീറ്ററുകൾ
പാരാമീറ്ററിന്റെ പേര് WIDTH_DATAWORD
പൂർണ്ണസംഖ്യ എന്ന് ടൈപ്പ് ചെയ്യുക
ആവശ്യമാണ്
വിവരണം
അതെ
റോ ഡാറ്റയുടെ വീതി വ്യക്തമാക്കുന്നു. മൂല്യങ്ങൾ 2 മുതൽ 64 വരെയാണ്
സ്ഥിര മൂല്യം 8 ആണ്.
WIDTH_CODEWORD
പൂർണ്ണസംഖ്യ
അതെ
അനുബന്ധ കോഡ് പദത്തിന്റെ വീതി വ്യക്തമാക്കുന്നു. മൂല്യങ്ങൾ 6 ആണ്
72 വരെ, 9, 17, 33, 65 എന്നിവ ഒഴികെ. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം
13 ആണ്.
LPM_PIPELINE
പൂർണ്ണസംഖ്യ
ഇല്ല
സർക്യൂട്ടിന്റെ രജിസ്റ്റർ വ്യക്തമാക്കുന്നു. മൂല്യങ്ങൾ 0 മുതൽ 2 വരെയാണ്. എങ്കിൽ
മൂല്യം 0 ആണ്, ഒരു രജിസ്റ്ററും നടപ്പിലാക്കിയിട്ടില്ല. മൂല്യം 1 ആണെങ്കിൽ, the
ഔട്ട്പുട്ട് രജിസ്റ്റർ ചെയ്തിട്ടുണ്ട്. മൂല്യം 2 ആണെങ്കിൽ, ഇൻപുട്ടും ദിയും
ഔട്ട്പുട്ട് രജിസ്റ്റർ ചെയ്തിട്ടുണ്ട്. മൂല്യം 2-ൽ കൂടുതലാണെങ്കിൽ, അധികമായി
രജിസ്റ്ററുകൾ അധികമായി ഔട്ട്പുട്ടിൽ നടപ്പിലാക്കുന്നു
കാലതാമസം. ഒഴിവാക്കിയാൽ, സ്ഥിര മൂല്യം 0 ആണ്.
ഒരു 'syn_e' പോർട്ട് സൃഷ്ടിക്കുക
പൂർണ്ണസംഖ്യ
ഇല്ല
ഒരു syn_e പോർട്ട് സൃഷ്ടിക്കാൻ ഈ പരാമീറ്റർ ഓണാക്കുക.
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 35
683490 | 2020.10.05 ഫീഡ്ബാക്ക് അയയ്ക്കുക
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ
ചിത്രം 9.
Intel FPGA മൾട്ടിപ്ലൈ ആഡർ (Intel Stratix 10, Intel Arria 10, Intel Cyclone 10 GX ഉപകരണങ്ങൾ) അല്ലെങ്കിൽ ALTERA_MULT_ADD (Arria V, Stratix V, Cyclone V ഉപകരണങ്ങൾ) IP കോർ നിങ്ങളെ ഒരു മൾട്ടിപ്ലയർ-ആഡർ നടപ്പിലാക്കാൻ അനുവദിക്കുന്നു.
ഇനിപ്പറയുന്ന ചിത്രം Intel FPGA മൾട്ടിപ്ലൈ ആഡർ അല്ലെങ്കിൽ ALTERA_MULT_ADD IP കോറിനുള്ള പോർട്ടുകൾ കാണിക്കുന്നു.
Intel FPGA മൾട്ടിപ്ലൈ ആഡർ അല്ലെങ്കിൽ ALTERA_MULT_ADD പോർട്ടുകൾ
Intel FPGA മൾട്ടിപ്ലൈ ആഡർ അല്ലെങ്കിൽ ALTERA_MULT_ADD
ഡാറ്റാ
accum_sload ചെയിൻ[]
സ്കാനൗട്ട[] ഫലം[]
aclr0 aclr1
inst
ഒരു മൾട്ടിപ്ലയർ-ആഡർ ജോഡി ഇൻപുട്ടുകൾ സ്വീകരിക്കുന്നു, മൂല്യങ്ങൾ ഒരുമിച്ച് ഗുണിക്കുന്നു, തുടർന്ന് മറ്റെല്ലാ ജോഡികളുടെയും ഉൽപ്പന്നങ്ങളിൽ നിന്ന് കൂട്ടിച്ചേർക്കുകയോ കുറയ്ക്കുകയോ ചെയ്യുന്നു.
എല്ലാ ഇൻപുട്ട് ഡാറ്റ വീതികളും 9-ബിറ്റ് വീതിയോ ചെറുതോ ആണെങ്കിൽ, 9 x 9 കോൺഫിഗറേഷനെ പിന്തുണയ്ക്കുന്ന ഉപകരണങ്ങൾക്കായി DSP ബ്ലോക്കിലെ 9 x 9 ബിറ്റ് ഇൻപുട്ട് മൾട്ടിപ്ലയർ കോൺഫിഗറേഷൻ ഫംഗ്ഷൻ ഉപയോഗിക്കുന്നു. ഇല്ലെങ്കിൽ, 18 ബിറ്റുകൾക്കും 18 ബിറ്റുകൾക്കും ഇടയിലുള്ള വീതിയുള്ള ഡാറ്റ പ്രോസസ്സ് ചെയ്യുന്നതിന് DSP ബ്ലോക്ക് 10 × 18-ബിറ്റ് ഇൻപുട്ട് മൾട്ടിപ്ലയറുകൾ ഉപയോഗിക്കുന്നു. ഒന്നിലധികം Intel FPGA മൾട്ടിപ്ലൈ ആഡർ അല്ലെങ്കിൽ ALTERA_MULT_ADD IP കോറുകൾ ഒരു ഡിസൈനിൽ സംഭവിക്കുകയാണെങ്കിൽ, ഫംഗ്ഷനുകൾ ഇനിപ്പറയുന്നതായി വിതരണം ചെയ്യും
ഇന്റൽ കോർപ്പറേഷൻ. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം. ഇന്റൽ, ഇന്റൽ ലോഗോ, മറ്റ് ഇന്റൽ മാർക്കുകൾ എന്നിവ ഇന്റൽ കോർപ്പറേഷന്റെയോ അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളുടെയോ വ്യാപാരമുദ്രകളാണ്. ഇന്റലിന്റെ സ്റ്റാൻഡേർഡ് വാറന്റിക്ക് അനുസൃതമായി അതിന്റെ FPGA, അർദ്ധചാലക ഉൽപ്പന്നങ്ങളുടെ പ്രകടനം നിലവിലെ സ്പെസിഫിക്കേഷനുകളിലേക്ക് Intel വാറന്റ് ചെയ്യുന്നു, എന്നാൽ അറിയിപ്പ് കൂടാതെ ഏത് സമയത്തും ഏത് ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം നിക്ഷിപ്തമാണ്. Intel രേഖാമൂലം രേഖാമൂലം സമ്മതിച്ചതല്ലാതെ ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങളുടെയോ ഉൽപ്പന്നത്തിന്റെയോ സേവനത്തിന്റെയോ ആപ്ലിക്കേഷനിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന ഉത്തരവാദിത്തമോ ബാധ്യതയോ Intel ഏറ്റെടുക്കുന്നില്ല. ഏതെങ്കിലും പ്രസിദ്ധീകരിച്ച വിവരങ്ങളെ ആശ്രയിക്കുന്നതിന് മുമ്പും ഉൽപ്പന്നങ്ങൾക്കോ സേവനങ്ങൾക്കോ ഓർഡറുകൾ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സവിശേഷതകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ഇന്റൽ ഉപഭോക്താക്കളോട് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.
ISO 9001:2015 രജിസ്റ്റർ ചെയ്തു
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
കഴിയുന്നത്ര വ്യത്യസ്തമായ DSP ബ്ലോക്കുകൾ ഈ ബ്ലോക്കുകളിലേക്കുള്ള റൂട്ടിംഗ് കൂടുതൽ വഴക്കമുള്ളതാണ്. ഓരോ DSP ബ്ലോക്കിനും കുറച്ച് മൾട്ടിപ്ലയറുകൾ, ഉപകരണത്തിന്റെ ശേഷിക്കുന്ന ഭാഗത്തേക്കുള്ള പാതകൾ ചെറുതാക്കി ബ്ലോക്കിലേക്ക് കൂടുതൽ റൂട്ടിംഗ് ചോയിസുകൾ അനുവദിക്കുന്നു.
ഇനിപ്പറയുന്ന സിഗ്നലുകൾക്കായുള്ള രജിസ്റ്ററുകളും അധിക പൈപ്പ്ലൈൻ രജിസ്റ്ററുകളും DSP ബ്ലോക്കിനുള്ളിൽ സ്ഥാപിച്ചിരിക്കുന്നു: · ഡാറ്റ ഇൻപുട്ട് · ഒപ്പിട്ടതോ ഒപ്പിടാത്തതോ തിരഞ്ഞെടുക്കുക · തിരഞ്ഞെടുത്തത് ചേർക്കുക അല്ലെങ്കിൽ കുറയ്ക്കുക · മൾട്ടിപ്ലയറുകളുടെ ഉൽപ്പന്നങ്ങൾ
ഔട്ട്പുട്ട് ഫലത്തിന്റെ കാര്യത്തിൽ, ആദ്യ രജിസ്റ്റർ ഡിഎസ്പി ബ്ലോക്കിൽ സ്ഥാപിച്ചിരിക്കുന്നു. എന്നിരുന്നാലും, അധിക ലേറ്റൻസി രജിസ്റ്ററുകൾ ബ്ലോക്കിന് പുറത്തുള്ള ലോജിക് ഘടകങ്ങളിൽ സ്ഥാപിച്ചിരിക്കുന്നു. ഡിഎസ്പി ബ്ലോക്കിലേക്കുള്ള പെരിഫറൽ, മൾട്ടിപ്ലയറിലേക്കുള്ള ഡാറ്റ ഇൻപുട്ടുകൾ, കൺട്രോൾ സിഗ്നൽ ഇൻപുട്ടുകൾ, ആഡറിന്റെ ഔട്ട്പുട്ടുകൾ എന്നിവ ഉൾപ്പെടെ, ബാക്കിയുള്ള ഉപകരണവുമായി ആശയവിനിമയം നടത്താൻ പതിവ് റൂട്ടിംഗ് ഉപയോഗിക്കുക. ഫംഗ്ഷനിലെ എല്ലാ കണക്ഷനുകളും ഡിഎസ്പി ബ്ലോക്കിനുള്ളിൽ പ്രത്യേക റൂട്ടിംഗ് ഉപയോഗിക്കുന്നു. ഒരു മൾട്ടിപ്ലയറിന്റെ രജിസ്റ്റർ ചെയ്ത ഇൻപുട്ട് ഡാറ്റ ഒരു മൾട്ടിപ്ലയറിൽ നിന്ന് അടുത്തുള്ള മൾട്ടിപ്ലയറിലേക്ക് മാറ്റുന്നതിനുള്ള ഓപ്ഷൻ നിങ്ങൾ തിരഞ്ഞെടുക്കുമ്പോൾ, ഈ സമർപ്പിത റൂട്ടിംഗിൽ ഷിഫ്റ്റ് രജിസ്റ്റർ ചെയിനുകൾ ഉൾപ്പെടുന്നു.
ഏതെങ്കിലും സ്ട്രാറ്റിക്സ് വി, അരിരിയ വി ഡിവൈസ് സീരീസിലെ ഡിഎസ്പി ബ്ലോക്കുകളെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക്, ലിറ്ററേച്ചർ ആൻഡ് ടെക്നിക്കൽ ഡോക്യുമെന്റേഷൻ പേജിലെ അതാത് ഹാൻഡ്ബുക്കുകളുടെ ഡിഎസ്പി ബ്ലോക്കുകളുടെ അധ്യായം കാണുക.
അനുബന്ധ വിവരങ്ങൾ AN 306: FPGA ഉപകരണങ്ങളിൽ മൾട്ടിപ്ലയറുകൾ നടപ്പിലാക്കുന്നു
Intel FPGA ഉപകരണങ്ങളിൽ DSP, മെമ്മറി ബ്ലോക്കുകൾ എന്നിവ ഉപയോഗിച്ച് മൾട്ടിപ്ലയറുകൾ നടപ്പിലാക്കുന്നതിനെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾ നൽകുന്നു.
8.1. സവിശേഷതകൾ
Intel FPGA മൾട്ടിപ്ലൈ ആഡർ അല്ലെങ്കിൽ ALTERA_MULT_ADD IP കോർ ഇനിപ്പറയുന്ന സവിശേഷതകൾ വാഗ്ദാനം ചെയ്യുന്നു: · രണ്ട് കോംപ്ലക്സുകളുടെ ഗുണന പ്രവർത്തനങ്ങൾ നടത്താൻ ഒരു മൾട്ടിപ്ലയർ സൃഷ്ടിക്കുന്നു
സംഖ്യകൾ ശ്രദ്ധിക്കുക: പ്രാദേശികമായി പിന്തുണയ്ക്കുന്ന വലുപ്പത്തേക്കാൾ വലിയ മൾട്ടിപ്ലയറുകൾ നിർമ്മിക്കുമ്പോൾ ഉണ്ടാകാം/
ഡിഎസ്പി ബ്ലോക്കുകളുടെ കാസ്കേഡിംഗിന്റെ ഫലമായുണ്ടാകുന്ന പ്രകടന ഫലമായിരിക്കും. · 1 256 ബിറ്റുകളുടെ ഡാറ്റ വീതിയെ പിന്തുണയ്ക്കുന്നു · ഒപ്പിട്ടതും ഒപ്പിടാത്തതുമായ ഡാറ്റാ പ്രാതിനിധ്യ ഫോർമാറ്റിനെ പിന്തുണയ്ക്കുന്നു · കോൺഫിഗർ ചെയ്യാവുന്ന ഇൻപുട്ട് ലേറ്റൻസി ഉപയോഗിച്ച് പൈപ്പ്ലൈനിംഗ് പിന്തുണയ്ക്കുന്നു · ഒപ്പിട്ടതും ഒപ്പിട്ടിട്ടില്ലാത്തതുമായ ഡാറ്റ പിന്തുണയ്ക്കിടയിൽ ചലനാത്മകമായി മാറാനുള്ള ഒരു ഓപ്ഷൻ നൽകുന്നു · ചേർക്കുകയും കുറയ്ക്കുകയും ചെയ്യുന്ന പ്രവർത്തനങ്ങൾക്കിടയിൽ ചലനാത്മകമായി മാറുന്നതിനുള്ള ഒരു ഓപ്ഷൻ നൽകുന്നു · പിന്തുണ ഓപ്ഷണൽ അസിൻക്രണസ്, സിൻക്രണസ് ക്ലിയർ, ക്ലോക്ക് ഇൻപുട്ട് പോർട്ടുകൾ പ്രവർത്തനക്ഷമമാക്കുക · സിസ്റ്റോളിക് കാലതാമസം രജിസ്റ്റർ മോഡ് പിന്തുണയ്ക്കുന്നു · ഒരു ഗുണിതത്തിന് 8 പ്രീ-ലോഡ് ഗുണകങ്ങളുള്ള പ്രീ-ആഡറിനെ പിന്തുണയ്ക്കുന്നു · അക്യുമുലേറ്റർ ഫീഡ്ബാക്ക് പൂർത്തീകരിക്കുന്നതിന് പ്രീ-ലോഡ് കോൺസ്റ്റന്റ് പിന്തുണയ്ക്കുന്നു
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 37
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
8.1.1. മുൻകൂട്ടി ചേർക്കുന്നയാൾ
പ്രീ-ആഡർ ഉപയോഗിച്ച്, ഗുണിതത്തിന് ഭക്ഷണം നൽകുന്നതിന് മുമ്പ് കൂട്ടിച്ചേർക്കലുകളോ കുറയ്ക്കലുകളോ നടത്തുന്നു.
അഞ്ച് പ്രീ-ആഡർ മോഡുകളുണ്ട്: · സിമ്പിൾ മോഡ് · കോഫിഫിഷ്യന്റ് മോഡ് · ഇൻപുട്ട് മോഡ് · സ്ക്വയർ മോഡ് · കോൺസ്റ്റന്റ് മോഡ്
കുറിപ്പ്:
പ്രീ-ആഡർ ഉപയോഗിക്കുമ്പോൾ (പ്രീ-ആഡർ കോഫിഫിഷ്യന്റ്/ഇൻപുട്ട്/സ്ക്വയർ മോഡ്), മൾട്ടിപ്ലയറിലേക്കുള്ള എല്ലാ ഡാറ്റാ ഇൻപുട്ടുകളിലും ഒരേ ക്ലോക്ക് ക്രമീകരണം ഉണ്ടായിരിക്കണം.
8.1.1.1. പ്രീ-ആഡർ സിമ്പിൾ മോഡ്
ഈ മോഡിൽ, രണ്ട് ഓപ്പറണ്ടുകളും ഇൻപുട്ട് പോർട്ടുകളിൽ നിന്നാണ് ഉരുത്തിരിഞ്ഞത്, പ്രീ-ആഡർ ഉപയോഗിക്കുകയോ ബൈപാസ് ചെയ്യുകയോ ചെയ്യുന്നില്ല. ഇതാണ് സ്ഥിരസ്ഥിതി മോഡ്.
ചിത്രം 10. പ്രീ-ആഡർ സിമ്പിൾ മോഡ്
എ0 ബി0
മൾട്ടി0
ഫലം
8.1.1.2. പ്രീ-ആഡർ കോഫിഫിഷ്യന്റ് മോഡ്
ഈ മോഡിൽ, ഒരു മൾട്ടിപ്ലയർ ഓപ്പറാൻറ് പ്രീ-ആഡറിൽ നിന്ന് ഉരുത്തിരിഞ്ഞുവരുന്നു, മറ്റൊന്ന് ആന്തരിക ഗുണക സംഭരണത്തിൽ നിന്ന് ഉരുത്തിരിഞ്ഞതാണ്. കോഫിഫിഷ്യന്റ് സ്റ്റോറേജ് 8 പ്രീസെറ്റ് കോൺസ്റ്റന്റുകൾ വരെ അനുവദിക്കുന്നു. കോഫിഫിഷ്യന്റ് സെലക്ഷൻ സിഗ്നലുകൾ coefsel[0..3] ആണ്.
ഈ മോഡ് ഇനിപ്പറയുന്ന സമവാക്യത്തിൽ പ്രകടിപ്പിക്കുന്നു.
ഒരു ഗുണിതത്തിന്റെ പ്രീ-ആഡർ കോഫിഫിഷ്യന്റ് മോഡ് താഴെ കാണിക്കുന്നു.
ചിത്രം 11. പ്രീ-ആഡർ കോഫിഫിഷ്യന്റ് മോഡ്
പ്രെഡ്ഡർ
a0
മൾട്ടി0
+/-
ഫലം
b0
coefsel0 coef
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 38
ഫീഡ്ബാക്ക് അയയ്ക്കുക
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
8.1.1.3. പ്രീ-ആഡർ ഇൻപുട്ട് മോഡ് ഈ മോഡിൽ, ഒരു മൾട്ടിപ്ലയർ ഓപ്പറാൻഡ് പ്രീ-ആഡറിൽ നിന്നും മറ്റൊന്ന് ഡാറ്റാക്[] ഇൻപുട്ട് പോർട്ടിൽ നിന്നും ഉരുത്തിരിഞ്ഞതാണ്. ഈ മോഡ് ഇനിപ്പറയുന്ന സമവാക്യത്തിൽ പ്രകടിപ്പിക്കുന്നു.
ഒരു മൾട്ടിപ്ലയറിന്റെ പ്രീ-ആഡർ ഇൻപുട്ട് മോഡ് താഴെ കാണിക്കുന്നു.
ചിത്രം 12. പ്രീ-ആഡർ ഇൻപുട്ട് മോഡ്
എ0 ബി0
മൾട്ടി0
+/-
ഫലം
c0
8.1.1.4. പ്രീ-ആഡർ സ്ക്വയർ മോഡ് ഈ മോഡ് ഇനിപ്പറയുന്ന സമവാക്യത്തിൽ പ്രകടിപ്പിക്കുന്നു.
രണ്ട് മൾട്ടിപ്ലയറുകളുടെ പ്രീ-ആഡർ സ്ക്വയർ മോഡ് താഴെ കാണിക്കുന്നു.
ചിത്രം 13. പ്രീ-ആഡർ സ്ക്വയർ മോഡ്
എ0 ബി0
മൾട്ടി0
+/-
ഫലം
8.1.1.5. പ്രീ-ആഡർ കോൺസ്റ്റന്റ് മോഡ്
ഈ മോഡിൽ, ഒരു മൾട്ടിപ്ലയർ ഓപ്പറാൻറ് ഇൻപുട്ട് പോർട്ടിൽ നിന്നും മറ്റൊന്ന് ആന്തരിക ഗുണക സംഭരണത്തിൽ നിന്നും ഉരുത്തിരിഞ്ഞതാണ്. കോഫിഫിഷ്യന്റ് സ്റ്റോറേജ് 8 പ്രീസെറ്റ് കോൺസ്റ്റന്റുകൾ വരെ അനുവദിക്കുന്നു. കോഫിഫിഷ്യന്റ് സെലക്ഷൻ സിഗ്നലുകൾ coefsel[0..3] ആണ്.
ഈ മോഡ് ഇനിപ്പറയുന്ന സമവാക്യത്തിൽ പ്രകടിപ്പിക്കുന്നു.
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 39
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
ഇനിപ്പറയുന്ന ചിത്രം ഒരു ഗുണിതത്തിന്റെ പ്രീ-ആഡർ കോൺസ്റ്റന്റ് മോഡ് കാണിക്കുന്നു.
ചിത്രം 14. പ്രീ-ആഡർ കോൺസ്റ്റന്റ് മോഡ്
a0
മൾട്ടി0
ഫലം
coefsel0
കോഫ്
8.1.2. സിസ്റ്റോളിക് കാലതാമസം രജിസ്റ്റർ
ഒരു സിസ്റ്റോളിക് ആർക്കിടെക്ചറിൽ, ഇൻപുട്ട് ഡാറ്റ ഒരു ഡാറ്റ ബഫറായി പ്രവർത്തിക്കുന്ന രജിസ്റ്ററുകളുടെ ഒരു കാസ്കേഡിലേക്ക് നൽകുന്നു. ഓരോ രജിസ്റ്ററും ഒരു ഇൻപുട്ട് s നൽകുന്നുample ഒരു ഗുണിതത്തിലേക്ക്, അത് അതാത് ഗുണകം കൊണ്ട് ഗുണിച്ചാൽ. ചെയിൻ ആഡർ, ഗുണിതത്തിൽ നിന്നുള്ള ക്രമേണ സംയോജിപ്പിച്ച ഫലങ്ങളും അന്തിമ ഫലം രൂപപ്പെടുത്തുന്നതിന് ചെയിൻ[] ഇൻപുട്ട് പോർട്ടിൽ നിന്ന് മുമ്പ് രജിസ്റ്റർ ചെയ്ത ഫലവും സംഭരിക്കുന്നു. ഓരോ ഗുണിത-ചേർക്കുന്ന ഘടകവും ഒരൊറ്റ സൈക്കിൾ കൊണ്ട് കാലതാമസം വരുത്തണം, അങ്ങനെ ഒരുമിച്ച് ചേർക്കുമ്പോൾ ഫലങ്ങൾ ഉചിതമായി സമന്വയിപ്പിക്കും. ഓരോ തുടർച്ചയായ കാലതാമസവും അവയുടെ ഗുണിത-ചേർക്കുന്ന ഘടകങ്ങളുടെ കോഫിഫിഷ്യന്റ് മെമ്മറിയും ഡാറ്റ ബഫറും പരിഹരിക്കാൻ ഉപയോഗിക്കുന്നു. ഉദാample, രണ്ടാമത്തെ ഗുണിത ചേർക്കുക മൂലകത്തിന് ഒരൊറ്റ കാലതാമസം, മൂന്നാമത്തെ ഗുണിത-ചേർക്കുന്ന ഘടകത്തിന് രണ്ട് കാലതാമസം, അങ്ങനെ പലതും.
ചിത്രം 15. സിസ്റ്റോളിക് രജിസ്റ്ററുകൾ
സിസ്റ്റോളിക് രജിസ്റ്ററുകൾ
x(t) c(0)
എസ് -1
എസ് -1
സി(1)
എസ് -1
എസ് -1
സി(2)
എസ് -1
എസ് -1
c(N-1)
എസ് -1
എസ് -1
എസ് -1
എസ് -1 വർഷം (ടി)
x(t) എന്നത് ഇൻപുട്ട് s-ന്റെ തുടർച്ചയായ സ്ട്രീമിൽ നിന്നുള്ള ഫലങ്ങളെ പ്രതിനിധീകരിക്കുന്നുamples and y(t)
ഇൻപുട്ട് സെറ്റിന്റെ ഒരു കൂട്ടം സംഗ്രഹത്തെ പ്രതിനിധീകരിക്കുന്നുampലെസ്, കാലക്രമേണ, അവയുടെ ഗുണിതം
ബന്ധപ്പെട്ട ഗുണകങ്ങൾ. ഇൻപുട്ട്, ഔട്ട്പുട്ട് ഫലങ്ങൾ ഇടത്തുനിന്ന് വലത്തോട്ട് ഒഴുകുന്നു. c(0) മുതൽ c(N-1) വരെയുള്ളത് ഗുണകങ്ങളെ സൂചിപ്പിക്കുന്നു. സിസ്റ്റോളിക് കാലതാമസം രജിസ്റ്ററുകൾ S-1 ആണ് സൂചിപ്പിക്കുന്നത്, 1 എന്നത് ഒരു ക്ലോക്ക് കാലതാമസത്തെ പ്രതിനിധീകരിക്കുന്നു. സിസ്റ്റോളിക് കാലതാമസം രജിസ്റ്ററുകൾ ചേർത്തിരിക്കുന്നു
പൈപ്പ്ലൈനിംഗിനുള്ള ഇൻപുട്ടുകളും ഔട്ട്പുട്ടുകളും ഫലങ്ങൾ ഉറപ്പാക്കുന്നു
മൾട്ടിപ്ലയർ ഓപ്പറണ്ടും സഞ്ചിത തുകകളും സമന്വയത്തിൽ തുടരുന്നു. ഈ പ്രോസസ്സിംഗ് ഘടകം
ഫിൽട്ടറിംഗ് ഫംഗ്ഷൻ കണക്കാക്കുന്ന ഒരു സർക്യൂട്ട് രൂപീകരിക്കുന്നതിന് ഇത് ആവർത്തിക്കുന്നു. ഈ പ്രവർത്തനം ആണ്
ഇനിപ്പറയുന്ന സമവാക്യത്തിൽ പ്രകടിപ്പിക്കുന്നു.
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 40
ഫീഡ്ബാക്ക് അയയ്ക്കുക
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
N എന്നത് അക്യുമുലേറ്ററിൽ പ്രവേശിച്ച ഡാറ്റയുടെ സൈക്കിളുകളുടെ എണ്ണത്തെ പ്രതിനിധീകരിക്കുന്നു, y(t) എന്നത് t-ലെ ഔട്ട്പുട്ടിനെ പ്രതിനിധീകരിക്കുന്നു, A(t) എന്നത് t-ലെ ഇൻപുട്ടിനെ പ്രതിനിധീകരിക്കുന്നു, B(i) എന്നത് ഗുണകങ്ങളാണ്. സമവാക്യത്തിലെ t, i എന്നിവ ഒരു പ്രത്യേക തൽക്ഷണ സമയവുമായി പൊരുത്തപ്പെടുന്നു, അതിനാൽ ഔട്ട്പുട്ട് s കണക്കാക്കാൻample y(t) സമയത്ത് t, ഇൻപുട്ടിന്റെ ഒരു ഗ്രൂപ്പ് sampN വ്യത്യസ്ത സമയങ്ങളിൽ les, അല്ലെങ്കിൽ A(n), A(n-1), A(n-2), … A(n-N+1) ആവശ്യമാണ്. N ഇൻപുട്ടിന്റെ ഗ്രൂപ്പ്amples നെ N ഗുണകങ്ങളാൽ ഗുണിക്കുകയും സംഗ്രഹിച്ച് അന്തിമഫലം y ഉണ്ടാക്കുകയും ചെയ്യുന്നു.
സം-ഓഫ്-2, സം-ഓഫ്-4 മോഡുകൾക്ക് മാത്രമേ സിസ്റ്റോളിക് രജിസ്റ്റർ ആർക്കിടെക്ചർ ലഭ്യമാകൂ. രണ്ട് സിസ്റ്റോളിക് രജിസ്റ്റർ ആർക്കിടെക്ചർ മോഡുകൾക്കും, ആദ്യത്തെ ചെയിൻ സിഗ്നൽ 0 ആയി ബന്ധിപ്പിക്കേണ്ടതുണ്ട്.
2 മൾട്ടിപ്ലയറുകളുടെ സിസ്റ്റോളിക് കാലതാമസം രജിസ്റ്റർ നടപ്പിലാക്കുന്നത് ഇനിപ്പറയുന്ന ചിത്രം കാണിക്കുന്നു.
ചിത്രം 16. 2 ഗുണിതങ്ങളുടെ സിസ്റ്റോളിക് ഡിലേ രജിസ്റ്റർ നടപ്പിലാക്കൽ
ചങ്ങല
a0
മൾട്ടി0
+/-
b0
a1
മൾട്ടി1
+/-
b1
ഫലം
രണ്ട് ഗുണിതങ്ങളുടെ ആകെത്തുക ഇനിപ്പറയുന്ന സമവാക്യത്തിൽ പ്രകടിപ്പിക്കുന്നു.
4 മൾട്ടിപ്ലയറുകളുടെ സിസ്റ്റോളിക് കാലതാമസം രജിസ്റ്റർ നടപ്പിലാക്കുന്നത് ഇനിപ്പറയുന്ന ചിത്രം കാണിക്കുന്നു.
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 41
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
ചിത്രം 17. 4 ഗുണിതങ്ങളുടെ സിസ്റ്റോളിക് ഡിലേ രജിസ്റ്റർ നടപ്പിലാക്കൽ
ചങ്ങല
a0
മൾട്ടി0
+/-
b0
a1
മൾട്ടി1
+/-
b1
a2
മൾട്ടി2
+/-
b2
a3
മൾട്ടി3
+/-
b3
ഫലം
നാല് ഗുണിതങ്ങളുടെ ആകെത്തുക ഇനിപ്പറയുന്ന സമവാക്യത്തിൽ പ്രകടമാണ്. ചിത്രം 18. 4 ഗുണിതങ്ങളുടെ ആകെത്തുക
ഇനിപ്പറയുന്നവ അഡ്വാൻസിനെ പട്ടികപ്പെടുത്തുന്നുtagസിസ്റ്റോളിക് രജിസ്റ്റർ നടപ്പിലാക്കൽ: · DSP റിസോഴ്സ് ഉപയോഗം കുറയ്ക്കുന്നു · ചെയിൻ ആഡർ ഘടന ഉപയോഗിച്ച് DSP ബ്ലോക്കിൽ കാര്യക്ഷമമായ മാപ്പിംഗ് പ്രാപ്തമാക്കുന്നു
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 42
ഫീഡ്ബാക്ക് അയയ്ക്കുക
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
8.1.3. സ്ഥിരമായി പ്രീ-ലോഡ് ചെയ്യുക
പ്രീ-ലോഡ് കോൺസ്റ്റന്റ് അക്യുമുലേറ്റർ ഓപ്പറണ്ടിനെ നിയന്ത്രിക്കുകയും അക്യുമുലേറ്റർ ഫീഡ്ബാക്കിനെ പൂർത്തീകരിക്കുകയും ചെയ്യുന്നു. സാധുവായ LOADCONST_VALUE ശ്രേണി 0 മുതൽ. സ്ഥിരമായ മൂല്യം 64N ന് തുല്യമാണ്, ഇവിടെ N = LOADCONST_VALUE. LOADCONST_VALUE 2 ആയി സജ്ജീകരിക്കുമ്പോൾ, സ്ഥിരമായ മൂല്യം 64 ന് തുല്യമാണ്. ഈ ഫംഗ്ഷൻ പക്ഷപാതപരമായ റൗണ്ടിംഗായി ഉപയോഗിക്കാം.
ഇനിപ്പറയുന്ന ചിത്രം പ്രീ-ലോഡ് സ്ഥിരമായ നടപ്പാക്കൽ കാണിക്കുന്നു.
ചിത്രം 19. പ്രീ-ലോഡ് കോൺസ്റ്റന്റ്
അക്യുമുലേറ്റർ ഫീഡ്ബാക്ക്
സ്ഥിരമായ
a0
മൾട്ടി0
+/-
b0
a1
മൾട്ടി1
+/b1
ഫലം
accum_sload sload_accum
മറ്റ് മൾട്ടിപ്ലയർ നടപ്പിലാക്കലുകൾക്കായി ഇനിപ്പറയുന്ന IP കോറുകൾ കാണുക: · ALTMULT_ACCUM · ALTMEMMULT · LPM_MULT
8.1.4. ഇരട്ട അക്യുമുലേറ്റർ
ഡബിൾ അക്യുമുലേറ്റർ ഫീച്ചർ അക്യുമുലേറ്റർ ഫീഡ്ബാക്ക് പാഥിൽ ഒരു അധിക രജിസ്റ്റർ ചേർക്കുന്നു. ഇരട്ട അക്യുമുലേറ്റർ രജിസ്റ്റർ ഔട്ട്പുട്ട് രജിസ്റ്ററിനെ പിന്തുടരുന്നു, അതിൽ ക്ലോക്ക്, ക്ലോക്ക് പ്രവർത്തനക്ഷമമാക്കൽ, aclr എന്നിവ ഉൾപ്പെടുന്നു. അധിക അക്യുമുലേറ്റർ രജിസ്റ്റർ ഒരു സൈക്കിൾ കാലതാമസത്തോടെ ഫലം നൽകുന്നു. ഒരേ റിസോഴ്സ് എണ്ണത്തിൽ രണ്ട് അക്യുമുലേറ്റർ ചാനലുകൾ ഉണ്ടായിരിക്കാൻ ഈ സവിശേഷത നിങ്ങളെ പ്രാപ്തമാക്കുന്നു.
ഇനിപ്പറയുന്ന ചിത്രം ഇരട്ട അക്യുമുലേറ്റർ നടപ്പിലാക്കൽ കാണിക്കുന്നു.
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 43
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
ചിത്രം 20. ഡബിൾ അക്യുമുലേറ്റർ
ഇരട്ട അക്യു മ്യൂലേറ്റർ രജിസ്റ്റർ
അക്യു മ്യൂലേറ്റർ ഫീഡ്ബ ck
a0
മൾട്ടി0
+/-
b0
a1
മൾട്ടി1
+/b1
ഔട്ട്പുട്ട് ഫലം ഔട്ട്പുട്ട് രജിസ്റ്റർ
8.2 വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ്
നിങ്ങൾക്ക് Intel FPGA മൾട്ടിപ്ലൈ ആഡർ അല്ലെങ്കിൽ ALTERA_MULT_ADD വെരിലോഗ് HDL പ്രോട്ടോടൈപ്പ് കണ്ടെത്താം file (altera_mult_add_rtl.v) ൽ ലൈബ്രറികളുടെ മെഗാഫംഗ്ഷൻ ഡയറക്ടറി.
8.3 VHDL ഘടക പ്രഖ്യാപനം
VHDL ഘടക പ്രഖ്യാപനം altera_lnsim_components.vhd-ൽ സ്ഥിതി ചെയ്യുന്നു librariesvhdl altera_lnsim ഡയറക്ടറി.
8.4 VHDL LIBRARY_USE പ്രഖ്യാപനം
നിങ്ങൾ VHDL ഘടക പ്രഖ്യാപനം ഉപയോഗിക്കുകയാണെങ്കിൽ VHDL ലൈബ്രറി-ഉപയോഗ പ്രഖ്യാപനം ആവശ്യമില്ല.
ലൈബ്രറി altera_mf; altera_mf.altera_mf_components.all ഉപയോഗിക്കുക;
8.5. സിഗ്നലുകൾ
ഇനിപ്പറയുന്ന പട്ടികകൾ മൾട്ടിപ്ലൈ ആഡർ ഇന്റൽ FPGA IPor ALTERA_MULT_ADD IP കോറിന്റെ ഇൻപുട്ട്, ഔട്ട്പുട്ട് സിഗ്നലുകൾ ലിസ്റ്റ് ചെയ്യുന്നു.
പട്ടിക 28. ഗുണിത ആഡർ ഇന്റൽ FPGA IPor ALTERA_MULT_ADD ഇൻപുട്ട് സിഗ്നലുകൾ
സിഗ്നൽ
ആവശ്യമാണ്
വിവരണം
dataa_0[]/dataa_1[]/
അതെ
dataa_2[]/dataa_3[]
ഗുണിതത്തിലേക്ക് ഡാറ്റ ഇൻപുട്ട്. ഇൻപുട്ട് പോർട്ട് [NUMBER_OF_MULTIPLIERS * WIDTH_A – 1 … 0] വീതി
തുടർന്നു…
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 44
ഫീഡ്ബാക്ക് അയയ്ക്കുക
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
സിഗ്നൽ datab_0[]/datab_1[]/ datab_2[]/datab_3[] datac_0[] /datac_1[]/ datac_2[]/datac_3[] ക്ലോക്ക്[1:0] aclr[1:0] sclr[1:0] ena [1:0] സിഗ്ന
അടയാളം
സ്കാനിന[] accum_sload
ആവശ്യമാണ് അതെ ഇല്ല
ഇല്ല ഇല്ല ഇല്ല ഇല്ല
ഇല്ല
ഇല്ല ഇല്ല
വിവരണം
ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ, ഈ സിഗ്നലുകളിലേക്കുള്ള നിർണ്ണയിക്കാത്ത ഇൻപുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. ഈ സിഗ്നലുകൾക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
ഗുണിതത്തിലേക്ക് ഡാറ്റ ഇൻപുട്ട്. ഇൻപുട്ട് സിഗ്നൽ [NUMBER_OF_MULTIPLIERS * WIDTH_B – 1 … 0] വീതി ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ, ഈ സിഗ്നലുകൾക്ക് നിർണ്ണയിക്കാത്ത ഇൻപുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. ഈ സിഗ്നലുകൾക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
ഗുണിതത്തിലേക്ക് ഡാറ്റ ഇൻപുട്ട്. ഇൻപുട്ട് സിഗ്നൽ [NUMBER_OF_MULTIPLIERS * WIDTH_C – 1, … 0] വീതി ഈ സിഗ്നലുകൾ പ്രവർത്തനക്ഷമമാക്കാൻ സെലക്ട് പ്രെഡർ മോഡ് പാരാമീറ്ററിനായി INPUT തിരഞ്ഞെടുക്കുക. ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ, ഈ സിഗ്നലുകളിലേക്കുള്ള നിർണ്ണയിക്കാത്ത ഇൻപുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. ഈ സിഗ്നലുകൾക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
അനുബന്ധ രജിസ്റ്ററിലേക്ക് ക്ലോക്ക് ഇൻപുട്ട് പോർട്ട്. ഐപി കോറിലെ ഏത് രജിസ്റ്ററിനും ഈ സിഗ്നൽ ഉപയോഗിക്കാം. ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ, ഈ സിഗ്നലുകളിലേക്കുള്ള നിർണ്ണയിക്കാത്ത ഇൻപുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. ഈ സിഗ്നലുകൾക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
അനുബന്ധ രജിസ്റ്ററിലേക്കുള്ള അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ട്. ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ, ഈ സിഗ്നലുകളിലേക്കുള്ള നിർണ്ണയിക്കാത്ത ഇൻപുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. ഈ സിഗ്നലുകൾക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
അനുബന്ധ രജിസ്റ്ററിലേക്ക് സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ട്. ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ ഈ സിഗ്നലുകളിലേക്കുള്ള നിർണ്ണയിക്കാത്ത ഇൻപുട്ട് മൂല്യം X-നെ പിന്തുണയ്ക്കുന്നു. ഈ സിഗ്നലുകൾക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു
അനുബന്ധ രജിസ്റ്ററിലേക്ക് സിഗ്നൽ ഇൻപുട്ട് പ്രവർത്തനക്ഷമമാക്കുക. ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ, ഈ സിഗ്നലുകളിലേക്കുള്ള നിർണ്ണയിക്കാത്ത ഇൻപുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. ഈ സിഗ്നലുകൾക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
മൾട്ടിപ്ലയർ ഇൻപുട്ട് എയുടെ സംഖ്യാ പ്രാതിനിധ്യം വ്യക്തമാക്കുന്നു. സിഗ്ന സിഗ്നൽ ഉയർന്നതാണെങ്കിൽ, മൾട്ടിപ്ലയർ ഇൻപുട്ട് എ സിഗ്നലിനെ സൈൻ ചെയ്ത സംഖ്യയായി കണക്കാക്കുന്നു. സിഗ്ന സിഗ്നൽ കുറവാണെങ്കിൽ, മൾട്ടിപ്ലയർ ഇൻപുട്ട് എ സിഗ്നലിനെ സൈൻ ചെയ്യാത്ത സംഖ്യയായി കണക്കാക്കുന്നു. ഈ സിഗ്നൽ പ്രവർത്തനക്ഷമമാക്കാൻ മൾട്ടിപ്ലയർ എ ഇൻപുട്ട് പാരാമീറ്ററിനുള്ള പ്രാതിനിധ്യ ഫോർമാറ്റ് എന്താണെന്നതിന് വേരിയബിൾ തിരഞ്ഞെടുക്കുക. ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ ഈ സിഗ്നലിലേക്ക് നിർണ്ണയിക്കപ്പെടാത്ത ഇൻപുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. ഈ ഇൻപുട്ടിലേക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
മൾട്ടിപ്ലയർ ഇൻപുട്ട് ബി സിഗ്നലിന്റെ സംഖ്യാ പ്രാതിനിധ്യം വ്യക്തമാക്കുന്നു. സൈൻബ് സിഗ്നൽ ഉയർന്നതാണെങ്കിൽ, മൾട്ടിപ്ലയർ ഇൻപുട്ട് ബി സിഗ്നലിനെ സൈൻ ചെയ്ത രണ്ടിന്റെ പൂരക സംഖ്യയായി കണക്കാക്കുന്നു. സൈൻബ് സിഗ്നൽ കുറവാണെങ്കിൽ, മൾട്ടിപ്ലയർ ഇൻപുട്ട് ബി സിഗ്നലിനെ സൈൻ ചെയ്യാത്ത സംഖ്യയായി മൾട്ടിപ്ലയർ പരിഗണിക്കുന്നു. ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ ഈ സിഗ്നലിലേക്ക് നിർണ്ണയിക്കപ്പെടാത്ത ഇൻപുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. ഈ ഇൻപുട്ടിലേക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
സ്കാൻ ചെയിൻ എയ്ക്കുള്ള ഇൻപുട്ട്. ഇൻപുട്ട് സിഗ്നൽ [WIDTH_A – 1, … 0] വീതി. INPUT_SOURCE_A പരാമീറ്ററിന് SCANA മൂല്യം ഉള്ളപ്പോൾ, സ്കാന[] സിഗ്നൽ ആവശ്യമാണ്.
അക്യുമുലേറ്റർ മൂല്യം സ്ഥിരമാണോ എന്ന് ചലനാത്മകമായി വ്യക്തമാക്കുന്നു. accum_sload സിഗ്നൽ കുറവാണെങ്കിൽ, മൾട്ടിപ്ലയർ ഔട്ട്പുട്ട് അക്യുമുലേറ്ററിലേക്ക് ലോഡ് ചെയ്യപ്പെടും. accum_sload, sload_accum എന്നിവ ഒരേസമയം ഉപയോഗിക്കരുത്.
തുടർന്നു…
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 45
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
സിഗ്നൽ sload_accum
ചെയിൻ[] addnsub1
addnsub3
coefsel0[] coefsel1[] coefsel2[] coefsel3[]
ആവശ്യമായ നമ്പർ
ഇല്ല ഇല്ല
ഇല്ല
ഇല്ല ഇല്ല ഇല്ല ഇല്ല
വിവരണം
ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ ഈ സിഗ്നലിലേക്ക് നിർണ്ണയിക്കപ്പെടാത്ത ഇൻപുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. ഈ ഇൻപുട്ടിലേക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
അക്യുമുലേറ്റർ മൂല്യം സ്ഥിരമാണോ എന്ന് ചലനാത്മകമായി വ്യക്തമാക്കുന്നു. sload_accum സിഗ്നൽ ഉയർന്നതാണെങ്കിൽ, മൾട്ടിപ്ലയർ ഔട്ട്പുട്ട് അക്യുമുലേറ്ററിലേക്ക് ലോഡ് ചെയ്യപ്പെടും. accum_sload, sload_accum എന്നിവ ഒരേസമയം ഉപയോഗിക്കരുത്. ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ ഈ സിഗ്നലിലേക്ക് നിർണ്ണയിക്കപ്പെടാത്ത ഇൻപുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. ഈ ഇൻപുട്ടിലേക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
മുമ്പത്തെ സെഷനിൽ നിന്നുള്ള ആഡർ റിസൾട്ട് ഇൻപുട്ട് ബസ്tagഇ. ഇൻപുട്ട് സിഗ്നൽ [WIDTH_CHAININ – 1, … 0] വീതി.
ആദ്യ ജോഡി മൾട്ടിപ്ലയറുകളിൽ നിന്നുള്ള ഔട്ട്പുട്ടുകളിലേക്ക് കൂട്ടിച്ചേർക്കലോ കുറയ്ക്കലോ നടത്തുക. ആദ്യ ജോഡി മൾട്ടിപ്ലയറുകളിൽ നിന്നുള്ള ഔട്ട്പുട്ടുകൾ ചേർക്കാൻ addnsub1 സിഗ്നലിലേക്ക് 1 ഇൻപുട്ട് ചെയ്യുക. ആദ്യ ജോഡി മൾട്ടിപ്ലയറുകളിൽ നിന്ന് ഔട്ട്പുട്ടുകൾ കുറയ്ക്കുന്നതിന് addnsub0 സിഗ്നലിലേക്ക് 1 ഇൻപുട്ട് ചെയ്യുക. ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ ഈ സിഗ്നലിലേക്ക് നിർണ്ണയിക്കപ്പെടാത്ത ഇൻപുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. ഈ ഇൻപുട്ടിലേക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
ആദ്യ ജോഡി മൾട്ടിപ്ലയറുകളിൽ നിന്നുള്ള ഔട്ട്പുട്ടുകളിലേക്ക് കൂട്ടിച്ചേർക്കലോ കുറയ്ക്കലോ നടത്തുക. രണ്ടാമത്തെ ജോഡി മൾട്ടിപ്ലയറുകളിൽ നിന്നുള്ള ഔട്ട്പുട്ടുകൾ ചേർക്കാൻ addnsub1 സിഗ്നലിലേക്ക് 3 ഇൻപുട്ട് ചെയ്യുക. ആദ്യ ജോഡി മൾട്ടിപ്ലയറുകളിൽ നിന്ന് ഔട്ട്പുട്ടുകൾ കുറയ്ക്കുന്നതിന് addnsub0 സിഗ്നലിലേക്ക് 3 നൽകുക. ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ ഈ സിഗ്നലിലേക്ക് നിർണ്ണയിക്കപ്പെടാത്ത ഇൻപുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. ഈ ഇൻപുട്ടിലേക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
ആദ്യ ഗുണിതത്തിലേക്ക് കോഫിഫിഷ്യന്റ് ഇൻപുട്ട് സിഗ്നൽ[0:3]. ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ ഈ സിഗ്നലിലേക്ക് നിർണ്ണയിക്കപ്പെടാത്ത ഇൻപുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. ഈ ഇൻപുട്ടിലേക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
രണ്ടാമത്തെ ഗുണിതത്തിലേക്ക് കോഫിഫിഷ്യന്റ് ഇൻപുട്ട് സിഗ്നൽ[0:3]. ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ ഈ സിഗ്നലിലേക്ക് നിർണ്ണയിക്കപ്പെടാത്ത ഇൻപുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. ഈ ഇൻപുട്ടിലേക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
മൂന്നാം ഗുണിതത്തിലേക്ക് കോഫിഫിഷ്യന്റ് ഇൻപുട്ട് സിഗ്നൽ[0:3]. ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ ഈ സിഗ്നലിലേക്ക് നിർണ്ണയിക്കപ്പെടാത്ത ഇൻപുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. ഈ ഇൻപുട്ടിലേക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
നാലാമത്തെ ഗുണിതത്തിലേക്ക് കോഫിഫിഷ്യന്റ് ഇൻപുട്ട് സിഗ്നൽ [0:3]. ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ ഈ സിഗ്നലിലേക്ക് നിർണ്ണയിക്കപ്പെടാത്ത ഇൻപുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. ഈ ഇൻപുട്ടിലേക്ക് നിങ്ങൾ X മൂല്യം നൽകുമ്പോൾ, ഔട്ട്പുട്ട് സിഗ്നലുകളിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
പട്ടിക 29. ആഡർ ഇന്റൽ FPGA IP ഔട്ട്പുട്ട് സിഗ്നലുകൾ ഗുണിക്കുക
സിഗ്നൽ
ആവശ്യമാണ്
വിവരണം
ഫലമായി []
അതെ
മൾട്ടിപ്ലയർ ഔട്ട്പുട്ട് സിഗ്നൽ. ഔട്ട്പുട്ട് സിഗ്നൽ [WIDTH_RESULT – 1 … 0] വീതി
ഈ IP-യുടെ സിമുലേഷൻ മോഡൽ നിർണ്ണയിക്കാത്ത ഔട്ട്പുട്ട് മൂല്യത്തെ (X) പിന്തുണയ്ക്കുന്നു. നിങ്ങൾ ഇൻപുട്ടായി X മൂല്യം നൽകുമ്പോൾ, ഈ സിഗ്നലിൽ X മൂല്യം പ്രചരിപ്പിക്കുന്നു.
സ്കാനൗട്ട []
ഇല്ല
സ്കാൻ ചെയിൻ A. ഔട്ട്പുട്ട് സിഗ്നൽ [WIDTH_A – 1..0] വീതി.
ഈ സിഗ്നൽ പ്രവർത്തനക്ഷമമാക്കാൻ, മൾട്ടിപ്ലയറുകളുടെ സംഖ്യകൾക്കായി 2-ൽ കൂടുതൽ തിരഞ്ഞെടുക്കുക, ഈ സിഗ്നൽ പ്രവർത്തനക്ഷമമാക്കാൻ, പരാമീറ്ററുമായി ബന്ധിപ്പിച്ചിരിക്കുന്ന മൾട്ടിപ്ലയറിന്റെ ഇൻപുട്ട് എ എന്താണ് എന്നതിനായി സ്കാൻ ചെയിൻ ഇൻപുട്ട് തിരഞ്ഞെടുക്കുക.
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 46
ഫീഡ്ബാക്ക് അയയ്ക്കുക
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
8.6. പാരാമീറ്ററുകൾ
8.6.1. ജനറൽ ടാബ്
പട്ടിക 30. പൊതുവായ ടാബ്
പരാമീറ്റർ
ഐപി ജനറേറ്റഡ് പാരാമീറ്റർ
മൂല്യം
ഗുണിതങ്ങളുടെ എണ്ണം എത്രയാണ്?
number_of_m 1 - 4 അൾട്ടിപ്ലയറുകൾ
A width_a ഇൻപുട്ട് ബസുകൾക്ക് എത്ര വീതി ഉണ്ടായിരിക്കണം?
1 - 256
B width_b ഇൻപുട്ട് ബസുകളുടെ വീതി എത്ര ആയിരിക്കണം?
1 - 256
'ഫല' ഔട്ട്പുട്ട് ബസിന്റെ വീതി എത്രയായിരിക്കണം?
വീതി_ഫലം
1 - 256
ഓരോ ക്ലോക്കിനും ഒരു അനുബന്ധ ക്ലോക്ക് പ്രവർത്തനക്ഷമമാക്കുക
gui_associate ഓൺ d_clock_enabl ഓഫ് ഇ
8.6.2. അധിക മോഡുകൾ ടാബ്
പട്ടിക 31. അധിക മോഡുകൾ ടാബ്
പരാമീറ്റർ
ഐപി ജനറേറ്റഡ് പാരാമീറ്റർ
മൂല്യം
ഔട്ട്പുട്ട് കോൺഫിഗറേഷൻ
ആഡർ യൂണിറ്റിന്റെ ഔട്ട്പുട്ട് രജിസ്റ്റർ ചെയ്യുക
gui_output_re ഓൺ
ഗൂഢഭാഷണം
ഓഫ്
ക്ലോക്ക് ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_output_re gister_clock
ക്ലോക്ക്0 ക്ലോക്ക്1 ക്ലോക്ക്2
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_output_re gister_aclr
ഒന്നുമില്ല ACLR0 ACLR1
സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_output_re gister_sclr
ഒന്നുമില്ല SCLR0 SCLR1
ആഡർ ഓപ്പറേഷൻ
ആദ്യ ജോഡി മൾട്ടിപ്ലയറുകളുടെ ഔട്ട്പുട്ടുകളിൽ എന്ത് പ്രവർത്തനം നടത്തണം?
gui_multiplier 1_direction
ചേർക്കുക, SUB, വേരിയബിൾ
സ്ഥിര മൂല്യം 1
16
വിവരണം
ഒരുമിച്ച് ചേർക്കേണ്ട മൾട്ടിപ്ലയറുകളുടെ എണ്ണം. മൂല്യങ്ങൾ 1 മുതൽ 4 വരെയാണ്. ഡാറ്റാ[] പോർട്ടിന്റെ വീതി വ്യക്തമാക്കുക.
16
ഡാറ്റാബ്[] പോർട്ടിന്റെ വീതി വ്യക്തമാക്കുക.
32
ഫലത്തിന്റെ[] പോർട്ടിന്റെ വീതി വ്യക്തമാക്കുക.
ഓഫ്
ക്ലോക്ക് പ്രവർത്തനക്ഷമമാക്കാൻ ഈ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക
ഓരോ ക്ലോക്കിനും.
ഡിഫോൾട്ട് മൂല്യം
വിവരണം
ഓഫ് ക്ലോക്ക്0
ഇല്ല ഒന്നുമില്ല
ആഡർ മൊഡ്യൂളിന്റെ ഔട്ട്പുട്ട് രജിസ്റ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ ഈ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക.
ഔട്ട്പുട്ട് രജിസ്റ്ററുകൾക്കായി ക്ലോക്ക് ഉറവിടം പ്രവർത്തനക്ഷമമാക്കുന്നതിനും വ്യക്തമാക്കുന്നതിനും Clock0 , Clock1 അല്ലെങ്കിൽ Clock2 തിരഞ്ഞെടുക്കുക. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ ആഡർ യൂണിറ്റിന്റെ രജിസ്റ്റർ ഔട്ട്പുട്ട് തിരഞ്ഞെടുക്കണം.
ആഡർ ഔട്ട്പുട്ട് രജിസ്റ്ററിനായി അസിൻക്രണസ് ക്ലിയർ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ ആഡർ യൂണിറ്റിന്റെ രജിസ്റ്റർ ഔട്ട്പുട്ട് തിരഞ്ഞെടുക്കണം.
ആഡർ ഔട്ട്പുട്ട് രജിസ്റ്ററിനായി സിൻക്രണസ് ക്ലിയർ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ ആഡർ യൂണിറ്റിന്റെ രജിസ്റ്റർ ഔട്ട്പുട്ട് തിരഞ്ഞെടുക്കണം.
ചേർക്കുക
ഒന്നും രണ്ടും ഗുണിതങ്ങൾക്കിടയിലുള്ള ഔട്ട്പുട്ടുകൾക്കായി സങ്കലനം അല്ലെങ്കിൽ കുറയ്ക്കൽ പ്രവർത്തനം തിരഞ്ഞെടുക്കുക.
· കൂട്ടിച്ചേർക്കൽ പ്രവർത്തനം നടത്താൻ ADD തിരഞ്ഞെടുക്കുക.
· കുറയ്ക്കൽ പ്രവർത്തനം നടത്താൻ SUB തിരഞ്ഞെടുക്കുക.
· ചലനാത്മക സങ്കലനം/വ്യവകലന നിയന്ത്രണത്തിനായി addnsub1 പോർട്ട് ഉപയോഗിക്കുന്നതിന് വേരിയബിൾ തിരഞ്ഞെടുക്കുക.
തുടർന്നു…
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 47
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
പരാമീറ്റർ
ഐപി ജനറേറ്റഡ് പാരാമീറ്റർ
മൂല്യം
'addnsub1' ഇൻപുട്ട് രജിസ്റ്റർ ചെയ്യുക
gui_addnsub_ multiplier_reg ഓൺ ister1
ക്ലോക്ക് ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_addnsub_ multiplier_reg ister1_clock
ക്ലോക്ക്0 ക്ലോക്ക്1 ക്ലോക്ക്2
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_addnsub_ multiplier_aclr 1
ഒന്നുമില്ല ACLR0 ACLR1
സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_addnsub_ multiplier_sclr 1
ഒന്നുമില്ല SCLR0 SCLR1
രണ്ടാമത്തെ ജോഡി മൾട്ടിപ്ലയറുകളുടെ ഔട്ട്പുട്ടുകളിൽ എന്ത് പ്രവർത്തനം നടത്തണം?
gui_multiplier 3_direction
ചേർക്കുക, SUB, വേരിയബിൾ
'addnsub3' ഇൻപുട്ട് രജിസ്റ്റർ ചെയ്യുക
gui_addnsub_ multiplier_reg ഓൺ ister3
ക്ലോക്ക് ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_addnsub_ multiplier_reg ister3_clock
ക്ലോക്ക്0 ക്ലോക്ക്1 ക്ലോക്ക്2
ഡിഫോൾട്ട് മൂല്യം
ഓഫ് ക്ലോക്ക്0 ഇല്ല ഒന്നും ചേർക്കരുത്
ഓഫ് ക്ലോക്ക്0
വിവരണം
വേരിയബിൾ മൂല്യം തിരഞ്ഞെടുക്കുമ്പോൾ:
കൂട്ടിച്ചേർക്കൽ പ്രവർത്തനം. · addnsub1 സിഗ്നൽ താഴെയായി ഡ്രൈവ് ചെയ്യുക
കുറയ്ക്കൽ പ്രവർത്തനം. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ രണ്ടിൽ കൂടുതൽ മൾട്ടിപ്ലയറുകൾ തിരഞ്ഞെടുക്കണം.
addnsub1 പോർട്ടിനായി ഇൻപുട്ട് രജിസ്റ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ ഈ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നതിന് ആദ്യ ജോഡി മൾട്ടിപ്ലയറുകളുടെ ഔട്ട്പുട്ടുകളിൽ എന്ത് പ്രവർത്തനം നടത്തണം എന്നതിന് നിങ്ങൾ വേരിയബിൾ തിരഞ്ഞെടുക്കണം.
addnsub0 രജിസ്റ്ററിനുള്ള ഇൻപുട്ട് ക്ലോക്ക് സിഗ്നൽ വ്യക്തമാക്കാൻ Clock1 , Clock2 അല്ലെങ്കിൽ Clock1 തിരഞ്ഞെടുക്കുക. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ രജിസ്റ്റർ 'addnsub1' ഇൻപുട്ട് തിരഞ്ഞെടുക്കണം.
addnsub1 രജിസ്റ്ററിനായി അസിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ രജിസ്റ്റർ 'addnsub1' ഇൻപുട്ട് തിരഞ്ഞെടുക്കണം.
addnsub1 രജിസ്റ്ററിനായി സിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ രജിസ്റ്റർ 'addnsub1' ഇൻപുട്ട് തിരഞ്ഞെടുക്കണം.
മൂന്നാമത്തെയും നാലാമത്തെയും ഗുണിതങ്ങൾക്കിടയിലുള്ള ഔട്ട്പുട്ടുകൾക്കായി സങ്കലനം അല്ലെങ്കിൽ കുറയ്ക്കൽ പ്രവർത്തനം തിരഞ്ഞെടുക്കുക. · കൂട്ടിച്ചേർക്കൽ നടത്താൻ ADD തിരഞ്ഞെടുക്കുക
ഓപ്പറേഷൻ. · കുറയ്ക്കൽ നടത്താൻ SUB തിരഞ്ഞെടുക്കുക
ഓപ്പറേഷൻ. addnsub1 ഉപയോഗിക്കുന്നതിന് വേരിയബിൾ തിരഞ്ഞെടുക്കുക
ചലനാത്മക സങ്കലനം/വ്യവകലനം നിയന്ത്രണത്തിനുള്ള പോർട്ട്. വേരിയബിൾ മൂല്യം തിരഞ്ഞെടുക്കുമ്പോൾ: · കൂട്ടിച്ചേർക്കൽ പ്രവർത്തനത്തിനായി addnsub1 സിഗ്നൽ ഉയർന്നതിലേക്ക് ഡ്രൈവ് ചെയ്യുക. · കുറയ്ക്കൽ പ്രവർത്തനത്തിനായി addnsub1 സിഗ്നൽ താഴ്ത്തുക. മൾട്ടിപ്ലയറുകളുടെ എണ്ണം എത്രയെന്നതിന് നിങ്ങൾ മൂല്യം 4 തിരഞ്ഞെടുക്കണം? ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ.
addnsub3 സിഗ്നലിനായി ഇൻപുട്ട് രജിസ്റ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ ഈ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നതിന് രണ്ടാമത്തെ ജോഡി മൾട്ടിപ്ലയറുകളുടെ ഔട്ട്പുട്ടുകളിൽ എന്ത് പ്രവർത്തനം നടത്തണം എന്നതിന് നിങ്ങൾ വേരിയബിൾ തിരഞ്ഞെടുക്കണം.
addnsub0 രജിസ്റ്ററിനുള്ള ഇൻപുട്ട് ക്ലോക്ക് സിഗ്നൽ വ്യക്തമാക്കാൻ Clock1 , Clock2 അല്ലെങ്കിൽ Clock3 തിരഞ്ഞെടുക്കുക. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ രജിസ്റ്റർ 'addnsub3' ഇൻപുട്ട് തിരഞ്ഞെടുക്കണം.
തുടർന്നു…
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 48
ഫീഡ്ബാക്ക് അയയ്ക്കുക
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
പരാമീറ്റർ
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
ഐപി ജനറേറ്റഡ് പാരാമീറ്റർ
മൂല്യം
gui_addnsub_ multiplier_aclr 3
ഒന്നുമില്ല ACLR0 ACLR1
സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_addnsub_ multiplier_sclr 3
ഒന്നുമില്ല SCLR0 SCLR1
പോളാരിറ്റി `use_subadd' പ്രവർത്തനക്ഷമമാക്കുക
gui_use_subn ഓൺ
ചേർക്കുക
ഓഫ്
8.6.3. മൾട്ടിപ്ലയേഴ്സ് ടാബ്
പട്ടിക 32. മൾട്ടിപ്ലയർ ടാബ്
പരാമീറ്റർ
ഐപി ജനറേറ്റഡ് പാരാമീറ്റർ
മൂല്യം
എന്താണ്
gui_represent
പ്രാതിനിധ്യ ഫോർമാറ്റ് ation_a
മൾട്ടിപ്ലയർ എ ഇൻപുട്ടുകൾക്കായി?
ഒപ്പിട്ടത്, ഒപ്പിടാത്തത്, വേരിയബിൾ
`സിഗ്ന' ഇൻപുട്ട് രജിസ്റ്റർ ചെയ്യുക
gui_register_s ഓൺ
ഇഗ്ന
ഓഫ്
ക്ലോക്ക് ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_register_s igna_clock
ക്ലോക്ക്0 ക്ലോക്ക്1 ക്ലോക്ക്2
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_register_s igna_aclr
ഒന്നുമില്ല ACLR0 ACLR1
സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_register_s igna_sclr
ഒന്നുമില്ല SCLR0 SCLR1
എന്താണ്
gui_represent
പ്രാതിനിധ്യ ഫോർമാറ്റ് ation_b
മൾട്ടിപ്ലയർ ബി ഇൻപുട്ടുകൾക്കായി?
ഒപ്പിട്ടത്, ഒപ്പിടാത്തത്, വേരിയബിൾ
`signb' ഇൻപുട്ട് രജിസ്റ്റർ ചെയ്യുക
gui_register_s ഓൺ
ignb
ഓഫ്
സ്ഥിര മൂല്യം NONE
ഒന്നുമില്ല
വിവരണം
addnsub3 രജിസ്റ്ററിനായി അസിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ രജിസ്റ്റർ 'addnsub3' ഇൻപുട്ട് തിരഞ്ഞെടുക്കണം.
addnsub3 രജിസ്റ്ററിനായി സിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ രജിസ്റ്റർ 'addnsub3' ഇൻപുട്ട് തിരഞ്ഞെടുക്കണം.
ഓഫ്
ഫംഗ്ഷൻ റിവേഴ്സ് ചെയ്യാൻ ഈ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക
addnsub ഇൻപുട്ട് പോർട്ടിന്റെ.
കുറയ്ക്കൽ പ്രവർത്തനത്തിനായി ആഡ്ൺസബ് ഹൈയിലേക്ക് ഡ്രൈവ് ചെയ്യുക.
കൂട്ടിച്ചേർക്കൽ പ്രവർത്തനത്തിനായി ആഡ്ൺസബ് ലോയിലേക്ക് ഡ്രൈവ് ചെയ്യുക.
ഡിഫോൾട്ട് മൂല്യം
വിവരണം
സൈൻ ചെയ്യാത്തത് മൾട്ടിപ്ലയർ എ ഇൻപുട്ടിനുള്ള പ്രാതിനിധ്യ ഫോർമാറ്റ് വ്യക്തമാക്കുക.
ഓഫ്
സിഗ്ന പ്രവർത്തനക്ഷമമാക്കാൻ ഈ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക
രജിസ്റ്റർ ചെയ്യുക.
മൾട്ടിപ്ലയർ എ ഇൻപുട്ടുകളുടെ പ്രാതിനിധ്യ ഫോർമാറ്റ് എന്താണെന്നതിന് നിങ്ങൾ വേരിയബിൾ മൂല്യം തിരഞ്ഞെടുക്കണം? ഈ ഓപ്ഷൻ പ്രവർത്തനക്ഷമമാക്കുന്നതിനുള്ള പരാമീറ്റർ.
ക്ലോക്ക് 0
സിഗ്ന രജിസ്റ്ററിനായി ഇൻപുട്ട് ക്ലോക്ക് സിഗ്നൽ പ്രവർത്തനക്ഷമമാക്കുന്നതിനും വ്യക്തമാക്കുന്നതിനും ക്ലോക്ക്0, ക്ലോക്ക്1 അല്ലെങ്കിൽ ക്ലോക്ക്2 തിരഞ്ഞെടുക്കുക.
ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ രജിസ്റ്റർ `സിഗ്ന' ഇൻപുട്ട് തിരഞ്ഞെടുക്കണം.
ഒന്നുമില്ല
സിഗ്ന രജിസ്റ്ററിനായി അസിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു.
ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ രജിസ്റ്റർ `സിഗ്ന' ഇൻപുട്ട് തിരഞ്ഞെടുക്കണം.
ഒന്നുമില്ല
സിഗ്ന രജിസ്റ്ററിനായി സിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു.
ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ രജിസ്റ്റർ `സിഗ്ന' ഇൻപുട്ട് തിരഞ്ഞെടുക്കണം.
അൺസൈൻ ചെയ്യാത്തത് മൾട്ടിപ്ലയർ ബി ഇൻപുട്ടിനായുള്ള പ്രാതിനിധ്യ ഫോർമാറ്റ് വ്യക്തമാക്കുക.
ഓഫ്
signb പ്രവർത്തനക്ഷമമാക്കാൻ ഈ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക
രജിസ്റ്റർ ചെയ്യുക.
തുടർന്നു…
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 49
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
പരാമീറ്റർ
ഐപി ജനറേറ്റഡ് പാരാമീറ്റർ
മൂല്യം
ഡിഫോൾട്ട് മൂല്യം
ക്ലോക്ക് ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_register_s ignb_clock
ക്ലോക്ക്0 ക്ലോക്ക്1 ക്ലോക്ക്2
ക്ലോക്ക് 0
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_register_s ignb_aclr
ഒന്നുമില്ല ACLR0 ACLR1
സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_register_s ignb_sclr
ഒന്നുമില്ല SCLR0 SCLR1
ഇൻപുട്ട് കോൺഫിഗറേഷൻ
ഗുണിതത്തിന്റെ ഇൻപുട്ട് എ രജിസ്റ്റർ ചെയ്യുക
ക്ലോക്ക് ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_input_reg ഓൺ
ister_a
ഓഫ്
gui_input_reg ister_a_clock
ക്ലോക്ക്0 ക്ലോക്ക്1 ക്ലോക്ക്2
ഇല്ല ഒന്നുമില്ല
ഓഫ് ക്ലോക്ക്0
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_input_reg ister_a_aclr
ഒന്നുമില്ല ACLR0 ACLR1
സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_input_reg ister_a_sclr
ഒന്നുമില്ല SCLR0 SCLR1
ഗുണിതത്തിന്റെ ഇൻപുട്ട് ബി രജിസ്റ്റർ ചെയ്യുക
ക്ലോക്ക് ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_input_reg ഓൺ
ister_b
ഓഫ്
gui_input_reg ister_b_clock
ക്ലോക്ക്0 ക്ലോക്ക്1 ക്ലോക്ക്2
ഒന്നുമില്ല ക്ലോക്ക് 0
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_input_reg ister_b_aclr
ഒന്നുമില്ല ACLR0 ACLR1
ഒന്നുമില്ല
സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_input_reg ister_b_sclr
ഒന്നുമില്ല SCLR0 SCLR1
ഒന്നുമില്ല
ഗുണിതത്തിന്റെ ഇൻപുട്ട് A എന്തുമായി ബന്ധിപ്പിച്ചിരിക്കുന്നു?
gui_multiplier മൾട്ടിപ്ലയർ ഇൻപുട്ട് മൾട്ടിപ്ലയർ
_എ_ഇൻപുട്ട്
ചെയിൻ ഇൻപുട്ട് ഇൻപുട്ട് സ്കാൻ ചെയ്യുക
വിവരണം
Multipliers B ഇൻപുട്ടുകളുടെ പ്രാതിനിധ്യ ഫോർമാറ്റ് എന്താണെന്നതിന് നിങ്ങൾ വേരിയബിൾ മൂല്യം തിരഞ്ഞെടുക്കണം? ഈ ഓപ്ഷൻ പ്രവർത്തനക്ഷമമാക്കുന്നതിനുള്ള പരാമീറ്റർ.
സൈൻബ് രജിസ്റ്ററിനായി ഇൻപുട്ട് ക്ലോക്ക് സിഗ്നൽ പ്രവർത്തനക്ഷമമാക്കുന്നതിനും വ്യക്തമാക്കുന്നതിനും ക്ലോക്ക്0, ക്ലോക്ക്1 അല്ലെങ്കിൽ ക്ലോക്ക്2 തിരഞ്ഞെടുക്കുക. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ രജിസ്റ്റർ `signb' ഇൻപുട്ട് തിരഞ്ഞെടുക്കണം.
സൈൻബ് രജിസ്റ്ററിനായി അസിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ രജിസ്റ്റർ `signb' ഇൻപുട്ട് തിരഞ്ഞെടുക്കണം.
സൈൻബ് രജിസ്റ്ററിനായി സിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ രജിസ്റ്റർ `signb' ഇൻപുട്ട് തിരഞ്ഞെടുക്കണം.
ഡാറ്റാ ഇൻപുട്ട് ബസിന് ഇൻപുട്ട് രജിസ്റ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ ഈ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക.
ഡാറ്റാ ഇൻപുട്ട് ബസിന് രജിസ്റ്റർ ഇൻപുട്ട് ക്ലോക്ക് സിഗ്നൽ പ്രവർത്തനക്ഷമമാക്കുന്നതിനും വ്യക്തമാക്കുന്നതിനും ക്ലോക്ക്0, ക്ലോക്ക്1 അല്ലെങ്കിൽ ക്ലോക്ക്2 തിരഞ്ഞെടുക്കുക. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ മൾട്ടിപ്ലയറിന്റെ രജിസ്റ്റർ ഇൻപുട്ട് എ തിരഞ്ഞെടുക്കണം.
ഡാറ്റാ ഇൻപുട്ട് ബസിന്റെ രജിസ്റ്റർ അസിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ മൾട്ടിപ്ലയറിന്റെ രജിസ്റ്റർ ഇൻപുട്ട് എ തിരഞ്ഞെടുക്കണം.
ഡാറ്റാ ഇൻപുട്ട് ബസിന് രജിസ്റ്റർ സിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ മൾട്ടിപ്ലയറിന്റെ രജിസ്റ്റർ ഇൻപുട്ട് എ തിരഞ്ഞെടുക്കണം.
ഡാറ്റാബ് ഇൻപുട്ട് ബസിന് ഇൻപുട്ട് രജിസ്റ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ ഈ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക.
ഡാറ്റാബ് ഇൻപുട്ട് ബസിനായി രജിസ്റ്റർ ഇൻപുട്ട് ക്ലോക്ക് സിഗ്നൽ പ്രവർത്തനക്ഷമമാക്കുന്നതിനും വ്യക്തമാക്കുന്നതിനും Clock0 , Clock1 അല്ലെങ്കിൽ Clock2 തിരഞ്ഞെടുക്കുക. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ മൾട്ടിപ്ലയറിന്റെ രജിസ്റ്റർ ഇൻപുട്ട് ബി തിരഞ്ഞെടുക്കണം.
ഡാറ്റാബ് ഇൻപുട്ട് ബസിന്റെ രജിസ്റ്റർ അസിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ മൾട്ടിപ്ലയറിന്റെ രജിസ്റ്റർ ഇൻപുട്ട് ബി തിരഞ്ഞെടുക്കണം.
ഡാറ്റാബ് ഇൻപുട്ട് ബസിന് രജിസ്റ്റർ സിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ മൾട്ടിപ്ലയറിന്റെ രജിസ്റ്റർ ഇൻപുട്ട് ബി തിരഞ്ഞെടുക്കണം.
ഗുണിതത്തിന്റെ ഇൻപുട്ട് എ ഇൻപുട്ട് ഉറവിടം തിരഞ്ഞെടുക്കുക.
തുടർന്നു…
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 50
ഫീഡ്ബാക്ക് അയയ്ക്കുക
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
പരാമീറ്റർ
ഐപി ജനറേറ്റഡ് പാരാമീറ്റർ
മൂല്യം
ഒരു രജിസ്റ്റർ കോൺഫിഗറേഷൻ സ്കാൻഔട്ട് ചെയ്യുക
സ്കാൻ ചെയിനിന്റെ ഔട്ട്പുട്ട് രജിസ്റ്റർ ചെയ്യുക
gui_scanouta ഓൺ
_രജിസ്റ്റർ ചെയ്യുക
ഓഫ്
ക്ലോക്ക് ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_scanouta _register_cloc കെ
ക്ലോക്ക്0 ക്ലോക്ക്1 ക്ലോക്ക്2
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_scanouta _register_aclr
ഒന്നുമില്ല ACLR0 ACLR1
സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_scanouta _register_sclr
ഒന്നുമില്ല SCLR0 SCLR1
8.6.4. പ്രെഡർ ടാബ്
പട്ടിക 33. പ്രെഡർ ടാബ്
പരാമീറ്റർ
ഐപി ജനറേറ്റഡ് പാരാമീറ്റർ
മൂല്യം
പ്രെഡർ മോഡ് തിരഞ്ഞെടുക്കുക
preadder_mo de
ലളിതം, COEF, ഇൻപുട്ട്, സ്ക്വയർ, സ്ഥിരം
ഡിഫോൾട്ട് മൂല്യം
വിവരണം
മൾട്ടിപ്ലയറിന്റെ ഉറവിടമായി ഡാറ്റാ ഇൻപുട്ട് ബസ് ഉപയോഗിക്കുന്നതിന് മൾട്ടിപ്ലയർ ഇൻപുട്ട് തിരഞ്ഞെടുക്കുക. മൾട്ടിപ്ലയറിലേക്കുള്ള ഉറവിടമായി സ്കാനിൻ ഇൻപുട്ട് ബസ് ഉപയോഗിക്കുന്നതിന് സ്കാൻ ചെയിൻ ഇൻപുട്ട് തിരഞ്ഞെടുത്ത് സ്കാൻഔട്ട് ഔട്ട്പുട്ട് ബസ് പ്രവർത്തനക്ഷമമാക്കുക. മൾട്ടിപ്ലയറുകളുടെ എണ്ണം എത്രയെന്നതിന് നിങ്ങൾ 2, 3 അല്ലെങ്കിൽ 4 തിരഞ്ഞെടുക്കുമ്പോൾ ഈ പരാമീറ്റർ ലഭ്യമാകും? പരാമീറ്റർ.
ഓഫ് ക്ലോക്ക്0 അല്ല ഒന്നുമില്ല
സ്കാൻഔട്ട ഔട്ട്പുട്ട് ബസിന് ഔട്ട്പുട്ട് രജിസ്റ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ ഈ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക.
മൾട്ടിപ്ലയറിന്റെ ഇൻപുട്ട് എ എന്തിലേക്ക് ബന്ധിപ്പിച്ചിരിക്കുന്നു എന്നതിനായി നിങ്ങൾ സ്കാൻ ചെയിൻ ഇൻപുട്ട് തിരഞ്ഞെടുക്കണം? ഈ ഓപ്ഷൻ പ്രവർത്തനക്ഷമമാക്കുന്നതിനുള്ള പരാമീറ്റർ.
സ്കാൻഔട്ട ഔട്ട്പുട്ട് ബസിന് രജിസ്റ്റർ ഇൻപുട്ട് ക്ലോക്ക് സിഗ്നൽ പ്രവർത്തനക്ഷമമാക്കുന്നതിനും വ്യക്തമാക്കുന്നതിനും ക്ലോക്ക്0, ക്ലോക്ക്1 അല്ലെങ്കിൽ ക്ലോക്ക്2 തിരഞ്ഞെടുക്കുക.
ഈ ഓപ്ഷൻ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ സ്കാൻ ചെയിൻ പാരാമീറ്ററിന്റെ രജിസ്റ്റർ ഔട്ട്പുട്ട് ഓൺ ചെയ്യണം.
സ്കാൻഔട്ട ഔട്ട്പുട്ട് ബസിന്റെ രജിസ്റ്റർ അസിൻക്രണസ് ക്ലിയർ സോഴ്സ് വ്യക്തമാക്കുന്നു.
ഈ ഓപ്ഷൻ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ സ്കാൻ ചെയിൻ പാരാമീറ്ററിന്റെ രജിസ്റ്റർ ഔട്ട്പുട്ട് ഓൺ ചെയ്യണം.
സ്കാൻഔട്ട ഔട്ട്പുട്ട് ബസിന്റെ രജിസ്റ്റർ സിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു.
ഈ ഓപ്ഷൻ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ സ്കാൻ ചെയിൻ പാരാമീറ്ററിന്റെ രജിസ്റ്റർ ഔട്ട്പുട്ട് തിരഞ്ഞെടുക്കണം.
ഡിഫോൾട്ട് മൂല്യം
ലളിതം
വിവരണം
പ്രെഡർ മൊഡ്യൂളിനുള്ള ഓപ്പറേഷൻ മോഡ് വ്യക്തമാക്കുന്നു. ലളിതം: ഈ മോഡ് പ്രെഡറിനെ മറികടക്കുന്നു. ഇതാണ് സ്ഥിരസ്ഥിതി മോഡ്. COEF: ഈ മോഡ് പ്രെഡറിന്റെയും കോഫ്സെൽ ഇൻപുട്ട് ബസിന്റെയും ഔട്ട്പുട്ട് ഗുണിതത്തിലേക്കുള്ള ഇൻപുട്ടുകളായി ഉപയോഗിക്കുന്നു. ഇൻപുട്ട്: ഈ മോഡ് പ്രെഡറിന്റെയും ഡാറ്റാക് ഇൻപുട്ട് ബസിന്റെയും ഔട്ട്പുട്ടാണ് മൾട്ടിപ്ലയറിലേക്കുള്ള ഇൻപുട്ടുകളായി ഉപയോഗിക്കുന്നത്. സ്ക്വയർ: ഈ മോഡ് പ്രെഡറിന്റെ ഔട്ട്പുട്ട് ഗുണിതത്തിലേക്കുള്ള രണ്ട് ഇൻപുട്ടുകളായി ഉപയോഗിക്കുന്നു.
തുടർന്നു…
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 51
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
പരാമീറ്റർ
ഐപി ജനറേറ്റഡ് പാരാമീറ്റർ
മൂല്യം
പ്രെഡർ ദിശ തിരഞ്ഞെടുക്കുക
gui_preadder ADD,
_ദിശ
SUB
C width_c ഇൻപുട്ട് ബസുകളുടെ വീതി എത്ര ആയിരിക്കണം?
1 - 256
ഡാറ്റ സി ഇൻപുട്ട് രജിസ്റ്റർ കോൺഫിഗറേഷൻ
ഡാറ്റാക് ഇൻപുട്ട് രജിസ്റ്റർ ചെയ്യുക
gui_datac_inp ഓൺ
ut_register
ഓഫ്
ക്ലോക്ക് ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_datac_inp ut_register_cl ഓക്ക്
ക്ലോക്ക്0 ക്ലോക്ക്1 ക്ലോക്ക്2
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_datac_inp ut_register_a clr
ഒന്നുമില്ല ACLR0 ACLR1
സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_datac_inp ut_register_sc lr
ഒന്നുമില്ല SCLR0 SCLR1
ഗുണകങ്ങൾ
കോഫിന്റെ വീതി എത്രയായിരിക്കണം?
വീതി_കോഫ്
1 - 27
കോഫ് രജിസ്റ്റർ കോൺഫിഗറേഷൻ
coefsel ഇൻപുട്ട് രജിസ്റ്റർ ചെയ്യുക
gui_coef_regi ഓൺ
ster
ഓഫ്
ക്ലോക്ക് ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_coef_regi ster_clock
ക്ലോക്ക്0 ക്ലോക്ക്1 ക്ലോക്ക്2
ഡിഫോൾട്ട് മൂല്യം
ചേർക്കുക
16
വിവരണം
സ്ഥിരം: ഈ മോഡ് പ്രെഡർ ബൈപാസ് ചെയ്ത ഡാറ്റാ ഇൻപുട്ട് ബസും മൾട്ടിപ്ലയറിലേക്കുള്ള ഇൻപുട്ടുകളായി കോഫ്സെൽ ഇൻപുട്ട് ബസും ഉപയോഗിക്കുന്നു.
പ്രെഡറിന്റെ പ്രവർത്തനം വ്യക്തമാക്കുന്നു. ഈ പാരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ, സെലക്ട് പ്രെഡർ മോഡിനായി ഇനിപ്പറയുന്നവ തിരഞ്ഞെടുക്കുക: · COEF · INPUT · Square or · CONSTANT
സി ഇൻപുട്ട് ബസിന്റെ ബിറ്റുകളുടെ എണ്ണം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ, Preadder മോഡ് തിരഞ്ഞെടുക്കുക എന്നതിനായി നിങ്ങൾ INPUT തിരഞ്ഞെടുക്കണം.
ക്ലോക്ക്0 ഇല്ല, ഇല്ല
ഡാറ്റാക് ഇൻപുട്ട് ബസിന് ഇൻപുട്ട് രജിസ്റ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ ഈ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക. ഈ ഓപ്ഷൻ പ്രവർത്തനക്ഷമമാക്കുന്നതിന്, Preadder മോഡ് പാരാമീറ്റർ തിരഞ്ഞെടുക്കുക എന്നതിലേക്ക് നിങ്ങൾ INPUT സജ്ജീകരിക്കണം.
ഡാറ്റാക് ഇൻപുട്ട് രജിസ്റ്ററിനുള്ള ഇൻപുട്ട് ക്ലോക്ക് സിഗ്നൽ വ്യക്തമാക്കുന്നതിന് Clock0 , Clock1 അല്ലെങ്കിൽ Clock2 തിരഞ്ഞെടുക്കുക. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ രജിസ്റ്റർ ഡാറ്റാക് ഇൻപുട്ട് തിരഞ്ഞെടുക്കണം.
ഡാറ്റാക് ഇൻപുട്ട് രജിസ്റ്ററിനായുള്ള അസിൻക്രണസ് ക്ലിയർ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ രജിസ്റ്റർ ഡാറ്റാക് ഇൻപുട്ട് തിരഞ്ഞെടുക്കണം.
ഡാറ്റാക് ഇൻപുട്ട് രജിസ്റ്ററിനായി സിൻക്രണസ് ക്ലിയർ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ രജിസ്റ്റർ ഡാറ്റാക് ഇൻപുട്ട് തിരഞ്ഞെടുക്കണം.
18
എന്നതിനായുള്ള ബിറ്റുകളുടെ എണ്ണം വ്യക്തമാക്കുന്നു
coefsel ഇൻപുട്ട് ബസ്.
ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നതിന് നിങ്ങൾ പ്രെഡർ മോഡിനായി COEF അല്ലെങ്കിൽ CONSTANT തിരഞ്ഞെടുക്കണം.
ക്ലോക്ക്0 ൽ
coefsel ഇൻപുട്ട് ബസിന് ഇൻപുട്ട് രജിസ്റ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ ഈ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നതിന് നിങ്ങൾ പ്രെഡർ മോഡിനായി COEF അല്ലെങ്കിൽ CONSTANT തിരഞ്ഞെടുക്കണം.
coefsel ഇൻപുട്ട് രജിസ്റ്ററിനുള്ള ഇൻപുട്ട് ക്ലോക്ക് സിഗ്നൽ വ്യക്തമാക്കാൻ Clock0, Clock1 അല്ലെങ്കിൽ Clock2 തിരഞ്ഞെടുക്കുക. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ കോഫ്സെൽ ഇൻപുട്ട് രജിസ്റ്റർ ചെയ്യുക തിരഞ്ഞെടുക്കണം.
തുടർന്നു…
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 52
ഫീഡ്ബാക്ക് അയയ്ക്കുക
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
പരാമീറ്റർ
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
ഐപി ജനറേറ്റഡ് പാരാമീറ്റർ
മൂല്യം
gui_coef_regi ster_aclr
ഒന്നുമില്ല ACLR0 ACLR1
സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്
gui_coef_regi ster_sclr
ഒന്നുമില്ല SCLR0 SCLR1
കോ എഫിഷ്യന്റ്_0 കോൺഫിഗറേഷൻ
coef0_0 മുതൽ coef0_7 വരെ
0x00000 0xFFFFFF
കോ എഫിഷ്യന്റ്_1 കോൺഫിഗറേഷൻ
coef1_0 മുതൽ coef1_7 വരെ
0x00000 0xFFFFFF
കോ എഫിഷ്യന്റ്_2 കോൺഫിഗറേഷൻ
coef2_0 മുതൽ coef2_7 വരെ
0x00000 0xFFFFFF
കോ എഫിഷ്യന്റ്_3 കോൺഫിഗറേഷൻ
coef3_0 മുതൽ coef3_7 വരെ
0x00000 0xFFFFFF
8.6.5. അക്യുമുലേറ്റർ ടാബ്
പട്ടിക 34. അക്യുമുലേറ്റർ ടാബ്
പരാമീറ്റർ
ഐപി ജനറേറ്റഡ് പാരാമീറ്റർ
മൂല്യം
അക്യുമുലേറ്റർ പ്രവർത്തനക്ഷമമാക്കണോ?
ശേഖരണം
അതെ അല്ല
അക്യുമുലേറ്റർ ഓപ്പറേഷൻ തരം എന്താണ്?
accum_directi ADD,
on
SUB
സ്ഥിര മൂല്യം NONE
ഒന്നുമില്ല
0x0000000 0
0x0000000 0
0x0000000 0
0x0000000 0
വിവരണം
coefsel ഇൻപുട്ട് രജിസ്റ്ററിനുള്ള അസിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ കോഫ്സെൽ ഇൻപുട്ട് രജിസ്റ്റർ ചെയ്യുക തിരഞ്ഞെടുക്കണം.
coefsel ഇൻപുട്ട് രജിസ്റ്ററിനുള്ള സിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ നിങ്ങൾ കോഫ്സെൽ ഇൻപുട്ട് രജിസ്റ്റർ ചെയ്യുക തിരഞ്ഞെടുക്കണം.
ഈ ആദ്യ ഗുണിതത്തിനുള്ള ഗുണക മൂല്യങ്ങൾ വ്യക്തമാക്കുന്നു. കോഫിന്റെ വീതി എത്രത്തോളം വീതിയുള്ളതായിരിക്കണം എന്നതിൽ വ്യക്തമാക്കിയിരിക്കുന്നതുപോലെ തന്നെയായിരിക്കണം ബിറ്റുകളുടെ എണ്ണം? പരാമീറ്റർ. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നതിന് നിങ്ങൾ പ്രെഡർ മോഡിനായി COEF അല്ലെങ്കിൽ CONSTANT തിരഞ്ഞെടുക്കണം.
ഈ രണ്ടാമത്തെ ഗുണിതത്തിനുള്ള ഗുണക മൂല്യങ്ങൾ വ്യക്തമാക്കുന്നു. കോഫിന്റെ വീതി എത്രത്തോളം വീതിയുള്ളതായിരിക്കണം എന്നതിൽ വ്യക്തമാക്കിയിരിക്കുന്നതുപോലെ തന്നെയായിരിക്കണം ബിറ്റുകളുടെ എണ്ണം? പരാമീറ്റർ. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നതിന് നിങ്ങൾ പ്രെഡർ മോഡിനായി COEF അല്ലെങ്കിൽ CONSTANT തിരഞ്ഞെടുക്കണം.
ഈ മൂന്നാം ഗുണിതത്തിനുള്ള ഗുണക മൂല്യങ്ങൾ വ്യക്തമാക്കുന്നു. കോഫിന്റെ വീതി എത്രത്തോളം വീതിയുള്ളതായിരിക്കണം എന്നതിൽ വ്യക്തമാക്കിയിരിക്കുന്നതുപോലെ തന്നെയായിരിക്കണം ബിറ്റുകളുടെ എണ്ണം? പരാമീറ്റർ. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നതിന് നിങ്ങൾ പ്രെഡർ മോഡിനായി COEF അല്ലെങ്കിൽ CONSTANT തിരഞ്ഞെടുക്കണം.
ഈ നാലാമത്തെ ഗുണിതത്തിനുള്ള ഗുണക മൂല്യങ്ങൾ വ്യക്തമാക്കുന്നു. കോഫിന്റെ വീതി എത്രത്തോളം വീതിയുള്ളതായിരിക്കണം എന്നതിൽ വ്യക്തമാക്കിയിരിക്കുന്നതുപോലെ തന്നെയായിരിക്കണം ബിറ്റുകളുടെ എണ്ണം? പരാമീറ്റർ. ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നതിന് നിങ്ങൾ പ്രെഡർ മോഡിനായി COEF അല്ലെങ്കിൽ CONSTANT തിരഞ്ഞെടുക്കണം.
ഡിഫോൾട്ട് മൂല്യം NO
ചേർക്കുക
വിവരണം
അക്യുമുലേറ്റർ പ്രവർത്തനക്ഷമമാക്കാൻ അതെ തിരഞ്ഞെടുക്കുക. അക്യുമുലേറ്റർ ഫീച്ചർ ഉപയോഗിക്കുമ്പോൾ നിങ്ങൾ ആഡർ യൂണിറ്റിന്റെ രജിസ്റ്റർ ഔട്ട്പുട്ട് തിരഞ്ഞെടുക്കണം.
അക്യുമുലേറ്ററിന്റെ പ്രവർത്തനം വ്യക്തമാക്കുന്നു: · കൂട്ടിച്ചേർക്കൽ പ്രവർത്തനത്തിന് ചേർക്കുക · കുറയ്ക്കൽ പ്രവർത്തനത്തിന് SUB. അക്യുമുലേറ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നതിന് നിങ്ങൾ അതെ തിരഞ്ഞെടുക്കണം? ഈ ഓപ്ഷൻ പ്രവർത്തനക്ഷമമാക്കുന്നതിനുള്ള പരാമീറ്റർ.
തുടർന്നു…
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 53
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
പരാമീറ്റർ
പ്രീലോഡ് കോൺസ്റ്റന്റ് പ്രീലോഡ് കോൺസ്റ്റന്റ് പ്രാപ്തമാക്കുക
ഐപി ജനറേറ്റഡ് പാരാമീറ്റർ
മൂല്യം
gui_ena_prelo ഓൺ
ad_const
ഓഫ്
അക്യുമുലേറ്റ് പോർട്ടിന്റെ ഇൻപുട്ട് എന്തുമായി ബന്ധിപ്പിച്ചിരിക്കുന്നു?
gui_accumula ACCUM_SLOAD, te_port_select SLOAD_ACCUM
loadconst_val 0 – 64 പ്രീലോഡിനായി മൂല്യം തിരഞ്ഞെടുക്കുക
സ്ഥിരമായ
ue
ക്ലോക്ക് ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_accum_sl oad_register_ ക്ലോക്ക്
ക്ലോക്ക്0 ക്ലോക്ക്1 ക്ലോക്ക്2
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_accum_sl oad_register_ aclr
ഒന്നുമില്ല ACLR0 ACLR1
സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_accum_sl oad_register_ sclr
ഒന്നുമില്ല SCLR0 SCLR1
ഡബിൾ അക്യുമുലേറ്റർ പ്രവർത്തനക്ഷമമാക്കുക
gui_double_a ഓൺ
ccum
ഓഫ്
ഡിഫോൾട്ട് മൂല്യം
വിവരണം
ഓഫ്
accum_sload പ്രവർത്തനക്ഷമമാക്കുക അല്ലെങ്കിൽ
sload_accum സിഗ്നലുകളും രജിസ്റ്റർ ഇൻപുട്ടും
എന്നതിലേക്കുള്ള ഇൻപുട്ട് ചലനാത്മകമായി തിരഞ്ഞെടുക്കുന്നതിന്
സഞ്ചിതൻ.
accum_sload കുറവാണെങ്കിൽ അല്ലെങ്കിൽ sload_accum ആണെങ്കിൽ, മൾട്ടിപ്ലയർ ഔട്ട്പുട്ട് അക്യുമുലേറ്ററിലേക്ക് ഫീഡ് ചെയ്യുന്നു.
accum_sload ഉയർന്നതോ sload_accum ആയിരിക്കുമ്പോഴോ, ഉപയോക്താവ് വ്യക്തമാക്കിയ പ്രീലോഡ് സ്ഥിരാങ്കം അക്യുമുലേറ്ററിലേക്ക് ഫീഡ് ചെയ്യുന്നു.
അക്യുമുലേറ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നതിന് നിങ്ങൾ അതെ തിരഞ്ഞെടുക്കണം? ഈ ഓപ്ഷൻ പ്രവർത്തനക്ഷമമാക്കുന്നതിനുള്ള പരാമീറ്റർ.
ACCUM_SL OAD
accum_sload/ sload_accum സിഗ്നലിന്റെ സ്വഭാവം വ്യക്തമാക്കുന്നു.
ACCUM_SLOAD: അക്യുമുലേറ്ററിലേക്ക് മൾട്ടിപ്ലയർ ഔട്ട്പുട്ട് ലോഡുചെയ്യാൻ ഡ്രൈവ് accum_sload ലോ.
SLOAD_ACCUM: അക്യുമുലേറ്ററിലേക്ക് മൾട്ടിപ്ലയർ ഔട്ട്പുട്ട് ലോഡ് ചെയ്യാൻ sload_accum ഹൈ ഡ്രൈവ് ചെയ്യുക.
ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നതിന് നിങ്ങൾ പ്രീലോഡ് സ്ഥിരാങ്കം പ്രാപ്തമാക്കുക ഓപ്ഷൻ തിരഞ്ഞെടുക്കണം.
64
മുൻകൂട്ടി നിശ്ചയിച്ച സ്ഥിരമായ മൂല്യം വ്യക്തമാക്കുക.
ഈ മൂല്യം 2N ആകാം, ഇവിടെ N എന്നത് പ്രീസെറ്റ് സ്ഥിരമായ മൂല്യമാണ്.
N=64 ആയിരിക്കുമ്പോൾ, അത് സ്ഥിരമായ പൂജ്യത്തെ പ്രതിനിധീകരിക്കുന്നു.
ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നതിന് നിങ്ങൾ പ്രീലോഡ് സ്ഥിരാങ്കം പ്രാപ്തമാക്കുക ഓപ്ഷൻ തിരഞ്ഞെടുക്കണം.
ക്ലോക്ക് 0
accum_sload/sload_accum രജിസ്റ്ററിനുള്ള ഇൻപുട്ട് ക്ലോക്ക് സിഗ്നൽ വ്യക്തമാക്കാൻ Clock0, Clock1 അല്ലെങ്കിൽ Clock2 തിരഞ്ഞെടുക്കുക.
ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നതിന് നിങ്ങൾ പ്രീലോഡ് സ്ഥിരാങ്കം പ്രാപ്തമാക്കുക ഓപ്ഷൻ തിരഞ്ഞെടുക്കണം.
ഒന്നുമില്ല
accum_sload/sload_accum രജിസ്റ്ററിനായി അസിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു.
ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നതിന് നിങ്ങൾ പ്രീലോഡ് സ്ഥിരാങ്കം പ്രാപ്തമാക്കുക ഓപ്ഷൻ തിരഞ്ഞെടുക്കണം.
ഒന്നുമില്ല
accum_sload/sload_accum രജിസ്റ്ററിനായുള്ള സിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു.
ഈ പരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നതിന് നിങ്ങൾ പ്രീലോഡ് സ്ഥിരാങ്കം പ്രാപ്തമാക്കുക ഓപ്ഷൻ തിരഞ്ഞെടുക്കണം.
ഓഫ്
ഇരട്ട അക്യുമുലേറ്റർ രജിസ്റ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നു.
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 54
ഫീഡ്ബാക്ക് അയയ്ക്കുക
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
8.6.6. സിസ്റ്റോളിക്/ചെയിൻഔട്ട് ടാബ്
പട്ടിക 35. സിസ്റ്റോളിക്/ചെയിൻഔട്ട് ആഡർ ടാബ്
പാരാമീറ്റർ ചെയിൻഔട്ട് ആഡർ പ്രവർത്തനക്ഷമമാക്കുക
ഐപി ജനറേറ്റഡ് പാരാമീറ്റർ
മൂല്യം
chainout_Add അതെ,
er
ഇല്ല
ചെയിൻഔട്ട് ആഡർ ഓപ്പറേഷൻ തരം എന്താണ്?
chainout_add ADD,
er_direction
SUB
ചെയിൻഔട്ട് ആഡറിന് `നെഗേറ്റ്' ഇൻപുട്ട് പ്രവർത്തനക്ഷമമാക്കണോ?
പോർട്ട്_നെഗേറ്റ്
PORT_USED, PORT_UNUSED
'നെഗേറ്റ്' ഇൻപുട്ട് രജിസ്റ്റർ ചെയ്യണോ? negate_regist er
രജിസ്റ്റർ ചെയ്യാത്തത്, ക്ലോക്ക്0, ക്ലോക്ക്1, ക്ലോക്ക്2, ക്ലോക്ക്3
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
നിഷേധിക്കുക_aclr
ഒന്നുമില്ല ACLR0 ACLR1
സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
negate_sclr
ഒന്നുമില്ല SCLR0 SCLR1
സിസ്റ്റോളിക് കാലതാമസം
സിസ്റ്റോളിക് കാലതാമസം രജിസ്റ്ററുകൾ പ്രവർത്തനക്ഷമമാക്കുക
gui_systolic_d ഓൺ
എളേ
ഓഫ്
ക്ലോക്ക് ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_systolic_d CLOCK0,
elay_clock
ക്ലോക്ക്1,
ഡിഫോൾട്ട് മൂല്യം
ഇല്ല
വിവരണം
ചെയിൻഔട്ട് ആഡർ മൊഡ്യൂൾ പ്രവർത്തനക്ഷമമാക്കാൻ അതെ തിരഞ്ഞെടുക്കുക.
ചേർക്കുക
ചെയിൻഔട്ട് ആഡർ പ്രവർത്തനം വ്യക്തമാക്കുന്നു.
കുറയ്ക്കൽ പ്രവർത്തനത്തിന്, Multipliers A ഇൻപുട്ടുകളുടെ പ്രാതിനിധ്യ ഫോർമാറ്റ് എന്താണെന്നതിന് SIGNED തിരഞ്ഞെടുക്കണം? മൾട്ടിപ്ലയർ ബി ഇൻപുട്ടുകളുടെ പ്രാതിനിധ്യ ഫോർമാറ്റ് എന്താണ്? മൾട്ടിപ്ലയേഴ്സ് ടാബിൽ.
PORT_UN ഉപയോഗിച്ചു
നെഗേറ്റ് ഇൻപുട്ട് സിഗ്നൽ പ്രവർത്തനക്ഷമമാക്കാൻ PORT_USED തിരഞ്ഞെടുക്കുക.
ചെയിൻഔട്ട് ആഡർ പ്രവർത്തനരഹിതമാകുമ്പോൾ ഈ പാരാമീറ്റർ അസാധുവാണ്.
അൺരജിസ്റ്റ് ERED
നെഗേറ്റ് ഇൻപുട്ട് സിഗ്നലിനായി ഇൻപുട്ട് രജിസ്റ്റർ പ്രവർത്തനക്ഷമമാക്കുന്നതിനും നെഗേറ്റ് രജിസ്റ്ററിനായി ഇൻപുട്ട് ക്ലോക്ക് സിഗ്നൽ വ്യക്തമാക്കുന്നു.
നെഗേറ്റ് ഇൻപുട്ട് രജിസ്റ്റർ ആവശ്യമില്ലെങ്കിൽ രജിസ്റ്റർ ചെയ്യാത്തത് തിരഞ്ഞെടുക്കുക
നിങ്ങൾ തിരഞ്ഞെടുക്കുമ്പോൾ ഈ പരാമീറ്റർ അസാധുവാണ്:
· ചെയിൻഔട്ട് ആഡർ പ്രവർത്തനക്ഷമമാക്കുന്നതിനോ അല്ലെങ്കിൽ
· ചെയിൻഔട്ട് ആഡറിനായി 'നെഗേറ്റ്' ഇൻപുട്ട് പ്രവർത്തനക്ഷമമാക്കുന്നതിന് PORT_UNUSED? പരാമീറ്റർ അല്ലെങ്കിൽ
ഒന്നുമില്ല
നെഗേറ്റ് രജിസ്റ്ററിനായി അസിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു.
നിങ്ങൾ തിരഞ്ഞെടുക്കുമ്പോൾ ഈ പരാമീറ്റർ അസാധുവാണ്:
· ചെയിൻഔട്ട് ആഡർ പ്രവർത്തനക്ഷമമാക്കുന്നതിനോ അല്ലെങ്കിൽ
· ചെയിൻഔട്ട് ആഡറിനായി 'നെഗേറ്റ്' ഇൻപുട്ട് പ്രവർത്തനക്ഷമമാക്കുന്നതിന് PORT_UNUSED? പരാമീറ്റർ അല്ലെങ്കിൽ
ഒന്നുമില്ല
നെഗേറ്റ് രജിസ്റ്ററിനായി സിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു.
നിങ്ങൾ തിരഞ്ഞെടുക്കുമ്പോൾ ഈ പരാമീറ്റർ അസാധുവാണ്:
· ചെയിൻഔട്ട് ആഡർ പ്രവർത്തനക്ഷമമാക്കുന്നതിനോ അല്ലെങ്കിൽ
· ചെയിൻഔട്ട് ആഡറിനായി 'നെഗേറ്റ്' ഇൻപുട്ട് പ്രവർത്തനക്ഷമമാക്കുന്നതിന് PORT_UNUSED? പരാമീറ്റർ അല്ലെങ്കിൽ
CLOCK0 ഓഫ്
സിസ്റ്റോളിക് മോഡ് പ്രവർത്തനക്ഷമമാക്കാൻ ഈ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക. ഗുണിതങ്ങളുടെ എണ്ണം എത്രയെന്നതിന് നിങ്ങൾ 2 അല്ലെങ്കിൽ 4 തിരഞ്ഞെടുക്കുമ്പോൾ ഈ പരാമീറ്റർ ലഭ്യമാകും? പരാമീറ്റർ. സിസ്റ്റോളിക് ഡിലേ രജിസ്റ്ററുകൾ ഉപയോഗിക്കുന്നതിന് നിങ്ങൾ ആഡർ യൂണിറ്റിന്റെ രജിസ്റ്റർ ഔട്ട്പുട്ട് പ്രവർത്തനക്ഷമമാക്കണം.
സിസ്റ്റോളിക് കാലതാമസം രജിസ്റ്ററിനുള്ള ഇൻപുട്ട് ക്ലോക്ക് സിഗ്നൽ വ്യക്തമാക്കുന്നു.
തുടർന്നു…
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 55
8. ഇന്റൽ എഫ്പിജിഎ മൾട്ടിപ്ലൈ ആഡർ ഐപി കോർ 683490 | 2020.10.05
പരാമീറ്റർ
ഐപി ജനറേറ്റഡ് പാരാമീറ്റർ
മൂല്യം
ക്ലോക്ക്2,
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_systolic_d elay_aclr
ഒന്നുമില്ല ACLR0 ACLR1
സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_systolic_d elay_sclr
ഒന്നുമില്ല SCLR0 SCLR1
ഡിഫോൾട്ട് മൂല്യം
ഒന്നുമില്ല
ഒന്നുമില്ല
വിവരണം
ഈ ഓപ്ഷൻ പ്രവർത്തനക്ഷമമാക്കാൻ സിസ്റ്റോളിക് കാലതാമസം രജിസ്റ്ററുകൾ പ്രാപ്തമാക്കുക എന്നത് നിങ്ങൾ തിരഞ്ഞെടുക്കണം.
സിസ്റ്റോളിക് കാലതാമസം രജിസ്റ്ററിനുള്ള അസിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ ഓപ്ഷൻ പ്രവർത്തനക്ഷമമാക്കാൻ സിസ്റ്റോളിക് കാലതാമസം രജിസ്റ്ററുകൾ പ്രാപ്തമാക്കുക എന്നത് നിങ്ങൾ തിരഞ്ഞെടുക്കണം.
സിസ്റ്റോളിക് കാലതാമസം രജിസ്റ്ററിനുള്ള സിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു. ഈ ഓപ്ഷൻ പ്രവർത്തനക്ഷമമാക്കാൻ സിസ്റ്റോളിക് കാലതാമസം രജിസ്റ്ററുകൾ പ്രാപ്തമാക്കുക എന്നത് നിങ്ങൾ തിരഞ്ഞെടുക്കണം.
8.6.7. പൈപ്പ്ലൈനിംഗ് ടാബ്
പട്ടിക 36. പൈപ്പ്ലൈനിംഗ് ടാബ്
പാരാമീറ്റർ പൈപ്പ്ലൈനിംഗ് കോൺഫിഗറേഷൻ
ഐപി ജനറേറ്റഡ് പാരാമീറ്റർ
മൂല്യം
ഇൻപുട്ടിലേക്ക് പൈപ്പ്ലൈൻ രജിസ്റ്റർ ചേർക്കണോ?
gui_pipelining ഇല്ല, അതെ
ഡിഫോൾട്ട് മൂല്യം
ഇല്ല
ദയവായി വ്യക്തമാക്കുക
ലേറ്റൻസി
ലേറ്റൻസി ക്ലോക്കിന്റെ എണ്ണം
ചക്രങ്ങൾ
0-നേക്കാൾ വലിയ ഏത് മൂല്യവും
ക്ലോക്ക് ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_input_late ncy_clock
CLOCK0, CLOCK1, CLOCK2
അസിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_input_late ncy_aclr
ഒന്നുമില്ല ACLR0 ACLR1
സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ടിന്റെ ഉറവിടം എന്താണ്?
gui_input_late ncy_sclr
ഒന്നുമില്ല SCLR0 SCLR1
ഘടികാരം0 ഇല്ല ഒന്നുമില്ല
വിവരണം
ഇൻപുട്ട് സിഗ്നലുകളിലേക്ക് പൈപ്പ്ലൈൻ രജിസ്റ്ററിന്റെ അധിക തലം പ്രവർത്തനക്ഷമമാക്കാൻ അതെ തിരഞ്ഞെടുക്കുക. ദയവായി ലേറ്റൻസി ക്ലോക്ക് സൈക്കിളുകളുടെ പാരാമീറ്ററിന്റെ എണ്ണം വ്യക്തമാക്കുന്നതിന് നിങ്ങൾ 0-നേക്കാൾ വലിയ മൂല്യം വ്യക്തമാക്കണം.
ക്ലോക്ക് സൈക്കിളുകളിൽ ആവശ്യമുള്ള ലേറ്റൻസി വ്യക്തമാക്കുന്നു. പൈപ്പ്ലൈൻ രജിസ്റ്ററിന്റെ ഒരു ലെവൽ = ക്ലോക്ക് സൈക്കിളിലെ 1 ലേറ്റൻസി. ഇൻപുട്ടിലേക്ക് പൈപ്പ്ലൈൻ രജിസ്റ്റർ ചേർക്കണോ? ഈ ഓപ്ഷൻ പ്രവർത്തനക്ഷമമാക്കാൻ.
പൈപ്പ്ലൈൻ രജിസ്റ്റർ ഇൻപുട്ട് ക്ലോക്ക് സിഗ്നൽ പ്രവർത്തനക്ഷമമാക്കുന്നതിനും വ്യക്തമാക്കുന്നതിനും Clock0 , Clock1 അല്ലെങ്കിൽ Clock2 തിരഞ്ഞെടുക്കുക. ഇൻപുട്ടിലേക്ക് പൈപ്പ്ലൈൻ രജിസ്റ്റർ ചേർക്കണോ? ഈ ഓപ്ഷൻ പ്രവർത്തനക്ഷമമാക്കാൻ.
അധിക പൈപ്പ്ലൈൻ രജിസ്റ്ററിനായി രജിസ്റ്റർ അസിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു. ഇൻപുട്ടിലേക്ക് പൈപ്പ്ലൈൻ രജിസ്റ്റർ ചേർക്കണോ? ഈ ഓപ്ഷൻ പ്രവർത്തനക്ഷമമാക്കാൻ.
അധിക പൈപ്പ്ലൈൻ രജിസ്റ്ററിനായി രജിസ്റ്റർ സിൻക്രണസ് വ്യക്തമായ ഉറവിടം വ്യക്തമാക്കുന്നു. ഇൻപുട്ടിലേക്ക് പൈപ്പ്ലൈൻ രജിസ്റ്റർ ചേർക്കണോ? ഈ ഓപ്ഷൻ പ്രവർത്തനക്ഷമമാക്കാൻ.
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 56
ഫീഡ്ബാക്ക് അയയ്ക്കുക
683490 | 2020.10.05 ഫീഡ്ബാക്ക് അയയ്ക്കുക
9. ALTMEMMULT (മെമ്മറി അടിസ്ഥാനമാക്കിയുള്ള കോൺസ്റ്റന്റ് കോഫിഫിഷ്യന്റ് മൾട്ടിപ്ലയർ) IP കോർ
ശ്രദ്ധ:
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ പതിപ്പ് 20.3-ൽ ഈ ഐപിയുടെ പിന്തുണ ഇന്റൽ നീക്കം ചെയ്തു. നിങ്ങളുടെ ഡിസൈനിലെ ഐപി കോർ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷനിലെ ഉപകരണങ്ങളെ ടാർഗെറ്റുചെയ്യുകയാണെങ്കിൽ, നിങ്ങൾക്ക് ഐപിയെ LPM_MULT Intel FPGA IP ഉപയോഗിച്ച് മാറ്റിസ്ഥാപിക്കാം അല്ലെങ്കിൽ IP വീണ്ടും ജനറേറ്റ് ചെയ്ത് ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയർ ഉപയോഗിച്ച് നിങ്ങളുടെ ഡിസൈൻ കംപൈൽ ചെയ്യാം.
ALTMEMMULT IP കോർ ഇന്റൽ FPGA-കളിൽ (M512, M4K, M9K, MLAB മെമ്മറി ബ്ലോക്കുകൾക്കൊപ്പം) കാണുന്ന ഓൺചിപ്പ് മെമ്മറി ബ്ലോക്കുകൾ ഉപയോഗിച്ച് മെമ്മറി അടിസ്ഥാനമാക്കിയുള്ള മൾട്ടിപ്ലയറുകൾ സൃഷ്ടിക്കാൻ ഉപയോഗിക്കുന്നു. ലോജിക് എലമെന്റുകളിലോ (LEs) അല്ലെങ്കിൽ ഡെഡിക്കേറ്റഡ് മൾട്ടിപ്ലയർ റിസോഴ്സുകളിലോ മൾട്ടിപ്ലയറുകൾ നടപ്പിലാക്കാൻ നിങ്ങൾക്ക് മതിയായ ഉറവിടങ്ങൾ ഇല്ലെങ്കിൽ ഈ IP കോർ ഉപയോഗപ്രദമാണ്.
ALTMEMMULT IP കോർ ഒരു ക്ലോക്ക് ആവശ്യമുള്ള ഒരു സമന്വയ പ്രവർത്തനമാണ്. ALTMEMMULT IP കോർ, നൽകിയിരിക്കുന്ന പാരാമീറ്ററുകൾക്കും സ്പെസിഫിക്കേഷനുകൾക്കും സാധ്യമായ ഏറ്റവും ചെറിയ ത്രൂപുട്ടും ലേറ്റൻസിയും ഉള്ള ഒരു മൾട്ടിപ്ലയർ നടപ്പിലാക്കുന്നു.
ഇനിപ്പറയുന്ന ചിത്രം ALTMEMMULT IP കോറിനുള്ള പോർട്ടുകൾ കാണിക്കുന്നു.
ചിത്രം 21. ALTMEMMULT പോർട്ടുകൾ
ALTMEMMULT
data_in[] sload_data coeff_in[]
ഫലം[] result_valid load_done
sload_coeff
sclr ക്ലോക്ക്
inst
പേജ് 71-ലെ അനുബന്ധ വിവര സവിശേഷതകൾ
9.1. സവിശേഷതകൾ
ALTMEMMULT IP കോർ ഇനിപ്പറയുന്ന സവിശേഷതകൾ വാഗ്ദാനം ചെയ്യുന്നു: · ഓൺ-ചിപ്പ് മെമ്മറി ബ്ലോക്കുകൾ ഉപയോഗിച്ച് മെമ്മറി അടിസ്ഥാനമാക്കിയുള്ള മൾട്ടിപ്ലയറുകൾ മാത്രം സൃഷ്ടിക്കുന്നു
Intel FPGAs · 1 ബിറ്റുകളുടെ ഡാറ്റ വീതിയെ പിന്തുണയ്ക്കുന്നു · ഒപ്പിട്ടതും ഒപ്പിടാത്തതുമായ ഡാറ്റ പ്രാതിനിധ്യ ഫോർമാറ്റിനെ പിന്തുണയ്ക്കുന്നു · നിശ്ചിത ഔട്ട്പുട്ട് ലേറ്റൻസി ഉപയോഗിച്ച് പൈപ്പ്ലൈനിംഗ് പിന്തുണയ്ക്കുന്നു
ഇന്റൽ കോർപ്പറേഷൻ. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം. ഇന്റൽ, ഇന്റൽ ലോഗോ, മറ്റ് ഇന്റൽ മാർക്കുകൾ എന്നിവ ഇന്റൽ കോർപ്പറേഷന്റെയോ അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളുടെയോ വ്യാപാരമുദ്രകളാണ്. ഇന്റലിന്റെ സ്റ്റാൻഡേർഡ് വാറന്റിക്ക് അനുസൃതമായി അതിന്റെ FPGA, അർദ്ധചാലക ഉൽപ്പന്നങ്ങളുടെ പ്രകടനം നിലവിലെ സ്പെസിഫിക്കേഷനുകളിലേക്ക് Intel വാറന്റ് ചെയ്യുന്നു, എന്നാൽ അറിയിപ്പ് കൂടാതെ ഏത് സമയത്തും ഏത് ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം നിക്ഷിപ്തമാണ്. Intel രേഖാമൂലം രേഖാമൂലം സമ്മതിച്ചതല്ലാതെ ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങളുടെയോ ഉൽപ്പന്നത്തിന്റെയോ സേവനത്തിന്റെയോ ആപ്ലിക്കേഷനിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന ഉത്തരവാദിത്തമോ ബാധ്യതയോ Intel ഏറ്റെടുക്കുന്നില്ല. ഏതെങ്കിലും പ്രസിദ്ധീകരിച്ച വിവരങ്ങളെ ആശ്രയിക്കുന്നതിന് മുമ്പും ഉൽപ്പന്നങ്ങൾക്കോ സേവനങ്ങൾക്കോ ഓർഡറുകൾ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സവിശേഷതകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ഇന്റൽ ഉപഭോക്താക്കളോട് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.
ISO 9001:2015 രജിസ്റ്റർ ചെയ്തു
9. ALTMEMMULT (മെമ്മറി അടിസ്ഥാനമാക്കിയുള്ള കോൺസ്റ്റന്റ് കോഫിഫിഷ്യന്റ് മൾട്ടിപ്ലയർ) IP കോർ 683490 | 2020.10.05
· റാൻഡം-ആക്സസ് മെമ്മറിയിൽ (റാം) മൾട്ടിപ്പിൾസ് സ്ഥിരാങ്കങ്ങൾ സംഭരിക്കുന്നു
· റാം ബ്ലോക്ക് തരം തിരഞ്ഞെടുക്കുന്നതിനുള്ള ഒരു ഓപ്ഷൻ നൽകുന്നു
· ഓപ്ഷണൽ സിൻക്രണസ് ക്ലിയർ, ലോഡ്-കൺട്രോൾ ഇൻപുട്ട് പോർട്ടുകൾ പിന്തുണയ്ക്കുന്നു
9.2 വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ്
ഇനിപ്പറയുന്ന വെരിലോഗ് എച്ച്ഡിഎൽ പ്രോട്ടോടൈപ്പ് വെരിലോഗ് ഡിസൈനിൽ സ്ഥിതിചെയ്യുന്നു File (.v) altera_mf.v eda സിന്തസിസ് ഡയറക്ടറി.
module altmemmult #( പരാമീറ്റർ coeff_representation = "SIGNED", പരാമീറ്റർ കോഫിഫിഷ്യന്റ്0 = "UUNUSED", parameter data_representation = "SIGNED", parameter intended_device_family = "unused", parameter max_clock_cycles_per_result = 1, പാരാമീറ്റർ total_latency = 1, പരാമീറ്റർ വീതി_c = 1, പരാമീറ്റർ വീതി_d = 1, പരാമീറ്റർ വീതി_r = 1, പരാമീറ്റർ വീതി_s = 1, പരാമീറ്റർ lpm_type = "altmemmult", പരാമീറ്റർ lpm_hint = "ഉപയോഗിക്കാത്തത്") (ഇൻപുട്ട് വയർ ക്ലോക്ക്, ഇൻപുട്ട് വയർ [width_c-1: 1]coeff_in, ഇൻപുട്ട് വയർ [width_d-0:1] data_in, ഔട്ട്പുട്ട് വയർ ലോഡ്_done, ഔട്ട്പുട്ട് വയർ [width_r-0:1] ഫലം, ഔട്ട്പുട്ട് വയർ result_valid, ഇൻപുട്ട് വയർ sclr, ഇൻപുട്ട് വയർ [width_s-0:1] സെൽ, ഇൻപുട്ട് വയർ sload_coeff, ഇൻപുട്ട് വയർ sload_data)/* സിന്തസിസ് syn_black_box=0 */; എൻഡ് മൊഡ്യൂൾ
9.3 VHDL ഘടക പ്രഖ്യാപനം
VHDL ഘടക പ്രഖ്യാപനം VHDL ഡിസൈനിലാണ് File (.vhd) altera_mf_components.vhd ൽ librariesvhdlaltera_mf ഡയറക്ടറി.
ഘടകം altmemmult ജെനറിക് ( coeff_representation:string := “SIGNED”; coefficiency0:string := “UNUSED”; data_representation:string := “SIGNED”; intended_device_family:string := “unused”; max_clock_cycles:natural_per_resultal number := 1; ram_block_type:string := “AUTO”; total_latency:natural; width_c:natural; width_d:natural; width_r:natural; width_s:natural := 1; lpm_hint:string := “UNUSED”; lpm_type:string "altmemmult"); പോർട്ട് (ക്ലോക്ക്:ഇൻ std_logic; coeff_in:in std_logic_vector (width_c-1 downto 1) := (മറ്റുള്ളവ => '0'); data_in: std_logic_vector (width_d-0 downto 1);
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 58
ഫീഡ്ബാക്ക് അയയ്ക്കുക
9. ALTMEMMULT (മെമ്മറി അടിസ്ഥാനമാക്കിയുള്ള കോൺസ്റ്റന്റ് കോഫിഫിഷ്യന്റ് മൾട്ടിപ്ലയർ) IP കോർ 683490 | 2020.10.05
load_done:out std_logic; ഫലം: ഔട്ട് std_logic_vector (width_r-1 down to 0); result_valid:out std_logic; sclr:in std_logic := '0'; sel:in std_logic_vector (width_s-1 downto 0) := (മറ്റുള്ളവ => '0'); sload_coeff:in std_logic := '0'; sload_data:in std_logic := '0'); അവസാന ഘടകം;
9.4. തുറമുഖങ്ങൾ
ഇനിപ്പറയുന്ന പട്ടികകൾ ALTMEMMULT IP കോറിനുള്ള ഇൻപുട്ട്, ഔട്ട്പുട്ട് പോർട്ടുകൾ പട്ടികപ്പെടുത്തുന്നു.
പട്ടിക 37. ALTMEMMULT ഇൻപുട്ട് പോർട്ടുകൾ
പോർട്ട് നാമം
ആവശ്യമാണ്
വിവരണം
ക്ലോക്ക്
അതെ
ഗുണിതത്തിലേക്ക് ക്ലോക്ക് ഇൻപുട്ട്.
coeff_in[]
ഇല്ല
ഗുണിതത്തിനുള്ള കോഫിഫിഷ്യന്റ് ഇൻപുട്ട് പോർട്ട്. ഇൻപുട്ട് പോർട്ടിന്റെ വലുപ്പം WIDTH_C പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു.
ഡാറ്റ_ഇൻ[]
അതെ
ഗുണിതത്തിലേക്ക് ഡാറ്റ ഇൻപുട്ട് പോർട്ട്. ഇൻപുട്ട് പോർട്ടിന്റെ വലുപ്പം WIDTH_D പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു.
sclr
ഇല്ല
സിൻക്രണസ് ക്ലിയർ ഇൻപുട്ട്. ഉപയോഗിച്ചിട്ടില്ലെങ്കിൽ, ഡിഫോൾട്ട് മൂല്യം ഉയർന്നതാണ്.
സെൽ[]
ഇല്ല
നിശ്ചിത ഗുണക തിരഞ്ഞെടുപ്പ്. ഇൻപുട്ട് പോർട്ടിന്റെ വലുപ്പം WIDTH_S-നെ ആശ്രയിച്ചിരിക്കുന്നു
പാരാമീറ്റർ മൂല്യം.
sload_coeff
ഇല്ല
സിൻക്രണസ് ലോഡ് കോഫിഫിഷ്യന്റ് ഇൻപുട്ട് പോർട്ട്. coeff_in ഇൻപുട്ടിൽ വ്യക്തമാക്കിയ മൂല്യവുമായി നിലവിലെ തിരഞ്ഞെടുത്ത ഗുണക മൂല്യം മാറ്റിസ്ഥാപിക്കുന്നു.
സ്ലോഡ്_ഡാറ്റ
ഇല്ല
സിൻക്രണസ് ലോഡ് ഡാറ്റ ഇൻപുട്ട് പോർട്ട്. പുതിയ ഗുണന പ്രവർത്തനം വ്യക്തമാക്കുകയും നിലവിലുള്ള ഏതെങ്കിലും ഗുണന പ്രവർത്തനം റദ്ദാക്കുകയും ചെയ്യുന്ന സിഗ്നൽ. MAX_CLOCK_CYCLES_PER_RESULT പാരാമീറ്ററിന് 1 മൂല്യമുണ്ടെങ്കിൽ, സ്ലോഡ്_ഡാറ്റ ഇൻപുട്ട് പോർട്ട് അവഗണിക്കപ്പെടും.
പട്ടിക 38. ALTMEMMULT ഔട്ട്പുട്ട് പോർട്ടുകൾ
പോർട്ട് നാമം
ആവശ്യമാണ്
വിവരണം
ഫലമായി[]
അതെ
മൾട്ടിപ്ലയർ ഔട്ട്പുട്ട് പോർട്ട്. ഇൻപുട്ട് പോർട്ടിന്റെ വലുപ്പം WIDTH_R പാരാമീറ്റർ മൂല്യത്തെ ആശ്രയിച്ചിരിക്കുന്നു.
ഫലം_സാധുതയുള്ളത്
അതെ
പൂർണ്ണമായ ഗുണനത്തിന്റെ സാധുതയുള്ള ഫലമാണ് ഔട്ട്പുട്ട് എപ്പോൾ സൂചിപ്പിക്കുന്നു. MAX_CLOCK_CYCLES_PER_RESULT പാരാമീറ്ററിന് 1 മൂല്യമുണ്ടെങ്കിൽ, result_valid ഔട്ട്പുട്ട് പോർട്ട് ഉപയോഗിക്കില്ല.
ലോഡ്_ചെയ്തു
ഇല്ല
പുതിയ കോഫിഫിഷ്യന്റ് ലോഡിംഗ് പൂർത്തിയാകുമ്പോൾ സൂചിപ്പിക്കുന്നു. ഒരു പുതിയ കോഫിഫിഷ്യന്റ് ലോഡിംഗ് പൂർത്തിയാകുമ്പോൾ load_done സിഗ്നൽ ഉറപ്പിക്കുന്നു. load_done സിഗ്നൽ ഉയർന്നതല്ലെങ്കിൽ, മെമ്മറിയിലേക്ക് മറ്റൊരു ഗുണക മൂല്യവും ലോഡ് ചെയ്യാൻ കഴിയില്ല.
9.5. പാരാമീറ്ററുകൾ
ഇനിപ്പറയുന്ന പട്ടിക ALTMEMMULT IP കോറിന്റെ പരാമീറ്ററുകൾ പട്ടികപ്പെടുത്തുന്നു.
പട്ടിക 39.
WIDTH_D WIDTH_C
ALTMEMMULT പാരാമീറ്ററുകൾ
പാരാമീറ്ററിൻ്റെ പേര്
തരം ആവശ്യമാണ്
വിവരണം
പൂർണ്ണസംഖ്യ അതെ
ഡാറ്റ_ഇൻ[] പോർട്ടിന്റെ വീതി വ്യക്തമാക്കുന്നു.
പൂർണ്ണസംഖ്യ അതെ
coeff_in[] പോർട്ടിന്റെ വീതി വ്യക്തമാക്കുന്നു. തുടർന്ന…
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഇന്റൽ എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ ഉപയോക്തൃ ഗൈഡ് 59
9. ALTMEMMULT (മെമ്മറി അടിസ്ഥാനമാക്കിയുള്ള കോൺസ്റ്റന്റ് കോഫിഫിഷ്യന്റ് മൾട്ടിപ്ലയർ) IP കോർ 683490 | 2020.10.05
പാരാമീറ്റർ പേര് WIDTH_R WIDTH
പ്രമാണങ്ങൾ / വിഭവങ്ങൾ
![]() |
intel FPGA ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ [pdf] ഉപയോക്തൃ ഗൈഡ് എഫ്പിജിഎ ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ, ഇന്റിജർ അരിത്മെറ്റിക് ഐപി കോറുകൾ, അരിത്മെറ്റിക് ഐപി കോറുകൾ, ഐപി കോറുകൾ |