Intel® Quartus® Prime Standard Edition
പതിപ്പ് 22.1std സോഫ്റ്റ്വെയർ, ഉപകരണ പിന്തുണ റിലീസ് കുറിപ്പുകൾ
Intel® Quartus® Prime Design Suite-നായി അപ്ഡേറ്റ് ചെയ്തത്: 22.1std.1
ഉപയോക്തൃ ഗൈഡ്
Intel® Quartus® Prime Standard Edition പതിപ്പ് 22.1std സോഫ്റ്റ്വെയർ, ഉപകരണ പിന്തുണ റിലീസ് കുറിപ്പുകൾ
ഈ ഡോക്യുമെന്റ് Intel® Quartus® Prime Standard Edition പതിപ്പ് 22.1std, 22.1std.1 എന്നിവയെക്കുറിച്ചുള്ള വൈകി-ബ്രേക്കിംഗ് വിവരങ്ങൾ നൽകുന്നു.
ഈ സോഫ്റ്റ്വെയർ റിലീസിനെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക്, ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ README കാണുക file ഇനിപ്പറയുന്ന സ്ഥലത്ത്: /quartus/readme.txt
ഓപ്പറേറ്റിംഗ് സിസ്റ്റം പിന്തുണയെക്കുറിച്ചുള്ള വിവരങ്ങൾക്ക്, ഇനിപ്പറയുന്നവ കാണുക web പേജ്: Intel FPGA ഓപ്പറേറ്റിംഗ് സിസ്റ്റം പിന്തുണ.
ബന്ധപ്പെട്ട വിവരങ്ങൾ
- ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ സോഫ്റ്റ്വെയറും ഉപകരണ പിന്തുണ റിലീസ് കുറിപ്പുകളും
- ലിനക്സിനുള്ള ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ ഡിസൈൻ സോഫ്റ്റ്വെയർ
- വിൻഡോസിനായുള്ള ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ ഡിസൈൻ സോഫ്റ്റ്വെയർ
- ലിനക്സിനുള്ള ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ലൈറ്റ് എഡിഷൻ ഡിസൈൻ സോഫ്റ്റ്വെയർ
- വിൻഡോസിനായുള്ള ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ലൈറ്റ് എഡിഷൻ ഡിസൈൻ സോഫ്റ്റ്വെയർ
- Intel FPGA സോഫ്റ്റ്വെയർ ഇൻസ്റ്റാളേഷനും ലൈസൻസിംഗും
1.1. പുതിയ സവിശേഷതകളും മെച്ചപ്പെടുത്തലുകളും
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയർ പതിപ്പ് 22.1std, പതിപ്പ് 22.1std.1 എന്നിവയിൽ പ്രവർത്തനപരവും സുരക്ഷാവുമായ അപ്ഡേറ്റുകൾ ഉൾപ്പെടുന്നു. നിങ്ങളുടെ സോഫ്റ്റ്വെയർ കാലികമാക്കി നിലനിർത്തുക, പിന്തുടരുക സാങ്കേതിക ശുപാർശകൾ അത് നിങ്ങളുടെ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ഇൻസ്റ്റാളേഷന്റെ സുരക്ഷ മെച്ചപ്പെടുത്താൻ സഹായിക്കുന്നു.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയർ പതിപ്പ് 22.1std-ൽ ഇനിപ്പറയുന്ന പുതിയ സവിശേഷതകളും മെച്ചപ്പെടുത്തലുകളും ഉൾപ്പെടുന്നു:
- Nios® V/m പ്രോസസറിനുള്ള പിന്തുണ ചേർത്തു.
- Intel MAX® 10 ഉപകരണങ്ങൾക്കായി, 1.8V LVDS പിന്തുണ ചേർത്തു.
ബഗ് പരിഹാരങ്ങൾ
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയർ പതിപ്പ് 22.1std, പതിപ്പ് 22.1std.1 എന്നിവയിലും ബഗ് പരിഹരിക്കലുകൾ ഉൾപ്പെടുന്നു. റിview ഈ പതിപ്പിൽ നിങ്ങളുടെ ഏതെങ്കിലും ഉപഭോക്തൃ സേവന (ഇന്റൽ പ്രീമിയർ സപ്പോർട്ട്) അഭ്യർത്ഥനകൾക്കുള്ള പരിഹാരങ്ങൾ ഉണ്ടോ അതോ പരിഹരിക്കപ്പെടുമോ എന്നറിയാൻ പേജ് 13-ലെ സോഫ്റ്റ്വെയർ പ്രശ്നങ്ങളും പേജ് 13-ലെ ഈ റിലീസിൽ ഉൾപ്പെടുത്തിയിരിക്കുന്ന സോഫ്റ്റ്വെയർ പാച്ചുകളും.
1.2 സോഫ്റ്റ്വെയർ പെരുമാറ്റത്തിലെ മാറ്റങ്ങൾ
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയറിന്റെ സ്വഭാവവും സ്ഥിരസ്ഥിതി ക്രമീകരണങ്ങളും ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയറിന്റെ മുൻ പതിപ്പുകളിൽ നിന്ന് മാറ്റിയ സംഭവങ്ങൾ ഈ വിഭാഗം രേഖപ്പെടുത്തുന്നു.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ഡിഫോൾട്ട് ക്രമീകരണങ്ങൾ കാണുക File (.qdf), /quartus/bin/assignment_defaults.qdf, ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയറിന്റെ ഏറ്റവും പുതിയ പതിപ്പിനായുള്ള എല്ലാ ഡിഫോൾട്ട് അസൈൻമെന്റ് ക്രമീകരണങ്ങളുടെയും ഒരു ലിസ്റ്റിനായി.
1.2.1. ഒഴിവാക്കിയ സവിശേഷതകളും പ്രവർത്തനങ്ങളും
ഈ വിഭാഗത്തിൽ ലിസ്റ്റ് ചെയ്തിരിക്കുന്ന ഫംഗ്ഷനുകളും സവിശേഷതകളും അവസാനിപ്പിച്ചെങ്കിലും ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ പതിപ്പ് 22.1std.1-ൽ നിന്നോ അതിന് മുമ്പോ നീക്കം ചെയ്തിട്ടില്ല.
ഒഴിവാക്കിയ ഫീച്ചറുകളും ഫംഗ്ഷനുകളും നീക്കം ചെയ്യുന്നതിനുമുമ്പ് മാറ്റിസ്ഥാപിക്കുന്നതോ ഇതര ഫീച്ചറുകളും ഫംഗ്ഷനുകളും ഉപയോഗിക്കുന്നതിന് നിങ്ങളുടെ ഉപകരണങ്ങളും പ്രക്രിയകളും മൈഗ്രേറ്റ് ചെയ്യുക.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പ്രകാരം ഫീച്ചറുകളും പ്രവർത്തനങ്ങളും ഒഴിവാക്കി പതിപ്പ് പതിപ്പ് 22.1std.1
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 22.1.1-ൽ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ഫീച്ചറുകളോ ഫംഗ്ഷനുകളോ ഒഴിവാക്കിയിട്ടില്ല.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പ്രകാരം ഫീച്ചറുകളും പ്രവർത്തനങ്ങളും ഒഴിവാക്കി പതിപ്പ് പതിപ്പ് 22.1std
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 22.1-ൽ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ഫീച്ചറുകളോ ഫംഗ്ഷനുകളോ ഒഴിവാക്കിയിട്ടില്ല.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ പതിപ്പ് 21.1.1-ൽ ഫീച്ചറുകളും പ്രവർത്തനങ്ങളും ഒഴിവാക്കി
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 21.1.1-ൽ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ഫീച്ചറുകളോ ഫംഗ്ഷനുകളോ ഒഴിവാക്കിയിട്ടില്ല.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പ്രകാരം ഫീച്ചറുകളും പ്രവർത്തനങ്ങളും ഒഴിവാക്കി പതിപ്പ് പതിപ്പ് 21.1
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 21.1-ൽ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ഫീച്ചറുകളോ ഫംഗ്ഷനുകളോ ഒഴിവാക്കിയിട്ടില്ല.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പ്രകാരം ഫീച്ചറുകളും പ്രവർത്തനങ്ങളും ഒഴിവാക്കി പതിപ്പ് പതിപ്പ് 20.1
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 20.1-ൽ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ഫീച്ചറുകളോ ഫംഗ്ഷനുകളോ ഒഴിവാക്കിയിട്ടില്ല.
1.2.2. നീക്കം ചെയ്ത സവിശേഷതകളും പ്രവർത്തനങ്ങളും
ഈ വിഭാഗത്തിൽ ലിസ്റ്റ് ചെയ്തിരിക്കുന്ന പ്രവർത്തനങ്ങളും സവിശേഷതകളും ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് പതിപ്പ് 22.1std.1-ൽ നിന്നോ അതിന് മുമ്പോ നീക്കം ചെയ്തിരിക്കുന്നു.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷനിൽ നിന്ന് ഫീച്ചറുകളും പ്രവർത്തനങ്ങളും നീക്കം ചെയ്തു പതിപ്പ് 22.1std.1
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് പതിപ്പ് 22.1.1-ൽ നിന്ന് ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ഫീച്ചറുകളോ പ്രവർത്തനങ്ങളോ നീക്കം ചെയ്തിട്ടില്ല.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷനിൽ നിന്ന് ഫീച്ചറുകളും പ്രവർത്തനങ്ങളും നീക്കം ചെയ്തു പതിപ്പ് 22.1std
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് പതിപ്പ് 22.1-ൽ നിന്ന് ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ഫീച്ചറുകളോ പ്രവർത്തനങ്ങളോ നീക്കം ചെയ്തിട്ടില്ല.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷനിൽ നിന്ന് ഫീച്ചറുകളും പ്രവർത്തനങ്ങളും നീക്കം ചെയ്തു പതിപ്പ് 21.1.1
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് പതിപ്പ് 21.1.1-ൽ നിന്ന് ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ഫീച്ചറുകളോ പ്രവർത്തനങ്ങളോ നീക്കം ചെയ്തിട്ടില്ല.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷനിൽ നിന്ന് ഫീച്ചറുകളും പ്രവർത്തനങ്ങളും നീക്കം ചെയ്തു പതിപ്പ് 21.1
- നീക്കംചെയ്ത മോഡൽസിം*-ഇന്റൽ എഫ്പിജിഎ പതിപ്പും മോഡൽസിം-ഇന്റൽ എഫ്പിജിഎ സ്റ്റാർട്ടർ പതിപ്പും
ഈ സിമുലേഷൻ സോഫ്റ്റ്വെയർ യഥാക്രമം Questa*-Intel FPGA പതിപ്പും Questa-Intel FPGA സ്റ്റാർട്ടർ പതിപ്പും ഉപയോഗിച്ച് മാറ്റിസ്ഥാപിച്ചു. - 32-ബിറ്റ് സിമുലേഷൻ സോഫ്റ്റ്വെയറിനുള്ള പിന്തുണ നീക്കം ചെയ്തു.
ഈ മാറ്റം ഇനിപ്പറയുന്ന സിമുലേഷൻ ടൂളുകൾക്കുള്ള പിന്തുണ നീക്കം ചെയ്യുന്നു:
— Aldec* Active-HDL* (32-ബിറ്റ്)
Aldec Active-HDL-ന്റെ 64-ബിറ്റ് പതിപ്പ് ഉപയോഗിക്കുക അല്ലെങ്കിൽ പകരം Aldec Riviera-PRO* ഉപയോഗിക്കുക.
— മെന്റർ ഗ്രാഫിക്സ്* മോഡൽസിം PE
പകരം Siemens* EDA ModelSim SE അല്ലെങ്കിൽ Siemens EDA Questa അഡ്വാൻസ്ഡ് സിമുലേറ്റർ ഉപയോഗിക്കുക. - NicheStack TCP/IP സ്റ്റാക്ക് പിന്തുണ നീക്കം ചെയ്തു.
- Cadence* Incisive* എന്റർപ്രൈസ് സിമുലേറ്ററിനുള്ള (IES) പിന്തുണ നീക്കം ചെയ്തു.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷനിൽ നിന്ന് ഫീച്ചറുകളും പ്രവർത്തനങ്ങളും നീക്കം ചെയ്തു പതിപ്പ് 20.1
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 20.1-ലും അതിനുശേഷവും ഇനിപ്പറയുന്ന സോഫ്റ്റ്വെയറുകൾക്കുള്ള പിന്തുണ നീക്കംചെയ്തു:
- ഇന്റൽ FPGA-കൾക്കുള്ള DSP ബിൽഡർ
- OpenCL™ (*) നായുള്ള ഇന്റൽ FPGA SDK
- OpenCL-നുള്ള ഇന്റൽ FPGA RTE
- ഇന്റൽ ഹൈ-ലെവൽ സിന്തസിസ് (HLS) കമ്പൈലർ
(*) OpenCL ഉം OpenCL ലോഗോയും ക്രോണോസ് ഗ്രൂപ്പിന്റെ അനുമതിയോടെ ഉപയോഗിക്കുന്ന Apple Inc. ന്റെ വ്യാപാരമുദ്രകളാണ്™
1.3 ഓപ്പറേറ്റിംഗ് സിസ്റ്റം പിന്തുണ
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ഡിസൈൻ സ്യൂട്ടിനുള്ള ഓപ്പറേറ്റിംഗ് സിസ്റ്റം പിന്തുണയെക്കുറിച്ചുള്ള വിവരങ്ങൾ ഇന്റൽ എഫ്പിജിഎയുടെ ഓപ്പറേറ്റിംഗ് സിസ്റ്റം സപ്പോർട്ട് പേജിൽ ലഭ്യമാണ്. webസൈറ്റ്.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷനിൽ ഓപ്പറേറ്റിംഗ് സിസ്റ്റം പിന്തുണ മാറ്റങ്ങൾ പതിപ്പ് 22.1std.1
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 22.1std.1-ൽ ഓപ്പറേറ്റിംഗ് സിസ്റ്റം പിന്തുണ മാറ്റങ്ങളൊന്നുമില്ല.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷനിൽ ഓപ്പറേറ്റിംഗ് സിസ്റ്റം പിന്തുണ മാറ്റങ്ങൾ പതിപ്പ് 22.1std
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ പതിപ്പ് 22.1 പ്രകാരം ഇനിപ്പറയുന്ന ഓപ്പറേറ്റിംഗ് സിസ്റ്റങ്ങൾക്കുള്ള പിന്തുണ ഒഴിവാക്കിയിരിക്കുന്നു:
- CentOS* Linux 8.2
- വിൻഡോസ് സെർവർ* 2012
- വിൻഡോസ് സെർവർ 2016
- വിൻഡോസ്* 10 പതിപ്പ് 1607
നിങ്ങളുടെ Windows 10 ഇൻസ്റ്റാളേഷൻ Windows 10 പതിപ്പ് 1809-ലേക്കോ അതിനുശേഷമുള്ളതിലേക്കോ മൈഗ്രേറ്റ് ചെയ്യുക.
ഈ ഓപ്പറേറ്റിംഗ് സിസ്റ്റങ്ങൾക്കുള്ള പിന്തുണ ഭാവി പതിപ്പിൽ നീക്കം ചെയ്തേക്കാം.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 22.1 ഇനിപ്പറയുന്ന ഓപ്പറേറ്റിംഗ് സിസ്റ്റങ്ങൾക്കുള്ള പിന്തുണ നീക്കം ചെയ്തു:
- സെന്റോസ് ലിനക്സ് 7.5
- CentOS Linux 8.0(1)
- CentOS Linux 8.1(1)
- Red Hat* Enterprise Linux* 7
- Red Hat Enterprise Linux 8.0(2)
- Red Hat Enterprise Linux 8.1(2)
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷനിൽ ഓപ്പറേറ്റിംഗ് സിസ്റ്റം പിന്തുണ മാറ്റങ്ങൾ പതിപ്പ് 21.1.1
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 21.1.1-ൽ ഓപ്പറേറ്റിംഗ് സിസ്റ്റം പിന്തുണ മാറ്റങ്ങളൊന്നുമില്ല.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷനിൽ ഓപ്പറേറ്റിംഗ് സിസ്റ്റം പിന്തുണ മാറ്റങ്ങൾ പതിപ്പ് 21.1
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 21.1 ഇനിപ്പറയുന്ന ഓപ്പറേറ്റിംഗ് സിസ്റ്റങ്ങൾക്കുള്ള പിന്തുണ ചേർത്തു:
- CentOS Linux 8.2-നെ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 22.1 പിന്തുണയ്ക്കുന്നു.
- Red Hat* Enterprise Linux 8.2-നെ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 22.1 പിന്തുണയ്ക്കുന്നു.
- CentOS 8.0
- Red Hat Enterprise Linux 8
- SUSE* Linux എന്റർപ്രൈസ് സെർവർ 15
- ഉബുണ്ടു* ലിനക്സ് 20 LTS
- വിൻഡോസ് സെർവർ 2019
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ പതിപ്പ് 21.1-ൽ ഇനിപ്പറയുന്ന ഓപ്പറേറ്റിംഗ് സിസ്റ്റങ്ങൾക്കുള്ള പിന്തുണ ഒഴിവാക്കിയിരിക്കുന്നു. ഈ ഓപ്പറേറ്റിംഗ് സിസ്റ്റങ്ങൾക്കുള്ള പിന്തുണ ഭാവി പതിപ്പിൽ നീക്കം ചെയ്തേക്കാം:
- CentOS 7.5
- Red Hat Enterprise Linux 7
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 21.1 ഇനിപ്പറയുന്ന ഓപ്പറേറ്റിംഗ് സിസ്റ്റങ്ങൾക്കുള്ള പിന്തുണ നീക്കം ചെയ്തു:
- Red Hat Enterprise Linux 6
- ഉബുണ്ടു ലിനക്സ് 14 LTS
ബന്ധപ്പെട്ട വിവരങ്ങൾ
ഓപ്പറേറ്റിംഗ് സിസ്റ്റം പിന്തുണ
1.4 ഡിസ്ക് സ്പേസ്, മെമ്മറി ശുപാർശകൾ
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയറിന്റെ പൂർണ്ണമായ ഇൻസ്റ്റാളേഷന് 40 GB വരെ ലഭ്യമായ ഡിസ്ക് സ്പേസ് ആവശ്യമാണ്.
നിങ്ങളുടെ ഡിസൈൻ പ്രോസസ്സ് ചെയ്യുന്നതിന് ആവശ്യമായ ശുപാർശ ചെയ്യുന്ന ഫിസിക്കൽ റാമിന് തുല്യമായ അധിക വെർച്വൽ മെമ്മറി നൽകുന്നതിനായി നിങ്ങളുടെ സിസ്റ്റം കോൺഫിഗർ ചെയ്യുക. ഈ അധിക വെർച്വൽ മെമ്മറി നിങ്ങളുടെ ഡിസൈൻ പ്രോസസ്സ് ചെയ്യുന്നതിന് ലഭ്യമായ മൊത്തം ഫലപ്രദമായ മെമ്മറി ഇരട്ടിയാക്കുന്നു.
കുറിപ്പ്:
പീക്ക് വെർച്വൽ മെമ്മറി ഈ ശുപാർശകൾ കവിഞ്ഞേക്കാം. അനന്തമായ റാം ഉള്ള ഹാർഡ്വെയറിൽ നേടിയതിന്റെ 10% റൺടൈം നേടുന്നതിന് ആവശ്യമായ ഫിസിക്കൽ മെമ്മറിയുടെ അളവിനെ അടിസ്ഥാനമാക്കിയുള്ളതാണ് ഈ ശുപാർശകൾ.
പട്ടിക 1.
Arria® ഡിസൈനുകൾ പ്രോസസ്സ് ചെയ്യുന്നതിനുള്ള മെമ്മറി ആവശ്യകതകൾ
ഈ ആവശ്യകതകൾ വിൻഡോസ്, ലിനക്സ് ഇൻസ്റ്റാളേഷനുകൾക്കും സമാനമാണ്.
കുടുംബം | ഉപകരണം | ശുപാർശ ചെയ്യുന്ന ഫിസിക്കൽ റാം |
Intel Arria® 10 | 10AT115, 10AX115 | 48 ജിബി |
10AT090, 10AX090 | 44 ജിബി | |
10AS066, 10AX066 | 32 ജിബി | |
10AS057, 10AX057 | 30 ജിബി | |
10ASO48, 10AX048 | 28 ജിബി | |
10AX032, 10AS032 | 24 ജിബി | |
10AX027, 10AS027 | 22 ജിബി | |
10AX022, 10AS022 | 20 ജിബി | |
10AX016, 10AS016 | 18 ജിബി | |
ആര്യ വി | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | 16 ജിബി |
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | 12 ജിബി | |
5AGXA7, 5AGTC7 | 10 ജിബി | |
5AGTC3, 5AGXA3, 5AGXA5 | 8 ജിബി | |
5AGXA1 | 6 ജിബി | |
അരിയ വി GZ | 5AGZE7 | 16 ജിബി |
5AGZE3, 5AGZE5 | 12 ജിബി | |
5AGZE1 | 8 ജിബി | |
Arria II GX | EP2AGX260 | 6 ജിബി |
EP2AGX95, EP2AGX125, EP2AGX190 | 4 ജിബി | |
EP2AGX65 | 2 ജിബി | |
EP2AGX45 | 1.5 ജിബി | |
Arria II GZ | EP2AGZ350 | 8 ജിബി |
EP2AGZ300 | 6 ജിബി | |
EP2AGZ225 | 4 ജിബി |
പട്ടിക 2.
Cyclone® ഡിസൈനുകൾ പ്രോസസ്സ് ചെയ്യുന്നതിനുള്ള മെമ്മറി ആവശ്യകതകൾ
ഈ ആവശ്യകതകൾ വിൻഡോസ്, ലിനക്സ് ഇൻസ്റ്റാളേഷനുകൾക്കും സമാനമാണ്.
കുടുംബം | ഉപകരണം | ശുപാർശ ചെയ്യുന്ന ഫിസിക്കൽ റാം |
Intel Cyclone® 10 LP | 10CL120 | 1.5 ജിബി |
10CL080, 10CL055 | 1 ജിബി | |
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 MB | |
ചുഴലിക്കാറ്റ് വി | 5CEA9, 5CGTD9, 5CGXC9 | 8 ജിബി |
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 | 6 ജിബി | |
ചുഴലിക്കാറ്റ് IV GX | EP4CGX110, EP4CGX150 | 2 ജിബി |
EP4CGX50, EP4CGX75 | 1.5 ജിബി | |
EP4CGX15, EP4CGX22, EP4CGX30 | 512 MB | |
ചുഴലിക്കാറ്റ് IV ഇ | EP4CE115 | 1.5 ജിബി |
EP4CE55, EP4CE75 | 1 ജിബി | |
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 MB |
പട്ടിക 3.
MAX ഡിസൈനുകൾ പ്രോസസ്സ് ചെയ്യുന്നതിനുള്ള മെമ്മറി ആവശ്യകതകൾ
ഈ ആവശ്യകതകൾ വിൻഡോസ്, ലിനക്സ് ഇൻസ്റ്റാളേഷനുകൾക്കും സമാനമാണ്.
കുടുംബം | ഉപകരണം | ശുപാർശ ചെയ്യുന്ന ഫിസിക്കൽ റാം |
ഇന്റൽ മാക്സ് 10 | 10M50 | 2 ജിബി |
10M16 | 2 ജിബി | |
10M25 | 2 ജിബി | |
10M40 | 2 ജിബി | |
10M04, 10M08 | 1 ജിബി | |
10M02 | 512 MB | |
മാക്സ് വി | എല്ലാം | 512 MB |
പരമാവധി II | എല്ലാം | 512 MB |
പട്ടിക 4.
Stratix®Designs പ്രോസസ്സ് ചെയ്യുന്നതിനുള്ള മെമ്മറി ആവശ്യകതകൾ
ഈ ആവശ്യകതകൾ വിൻഡോസ്, ലിനക്സ് ഇൻസ്റ്റാളേഷനുകൾക്കും സമാനമാണ്.
കുടുംബം | ഉപകരണം | ശുപാർശ ചെയ്യുന്ന ഫിസിക്കൽ റാം |
സ്ട്രാറ്റിക്സ് വി | 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB | 28 ജിബി |
5SGXA9, 5SEE9 | 24 ജിബി | |
5SGTC7, 5SGXA7, 5SGSD8 | 20 ജിബി | |
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | 16 ജിബി | |
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | 12 ജിബി | |
5SGSD3 | 8 ജിബി | |
സ്ട്രാറ്റിക്സ് IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | 12 ജിബി |
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | 8 ജിബി | |
EP4SGX290 | 6 ജിബി | |
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | 4 ജിബി | |
EP4SGX70 | 2 ജിബി |
1.5 ഉപകരണ പിന്തുണയും പിൻ-ഔട്ട് നിലയും
എല്ലാ പ്രൊഡക്ഷൻ ഉപകരണങ്ങൾക്കും നിലവിൽ പൂർണ്ണ സമാഹാരം, സിമുലേഷൻ, സമയ വിശകലനം, പ്രോഗ്രാമിംഗ് പിന്തുണ എന്നിവയുണ്ട്.
1.5.1. ഉപകരണ പിന്തുണയിലെ മാറ്റങ്ങൾ
1.6 സമയ മോഡൽ, പവർ മോഡൽ, ഉപകരണ നില
പട്ടിക 5.
Intel Arria 10 ഉപകരണങ്ങൾക്കുള്ള സമയ മോഡൽ, പവർ മോഡൽ, ഉപകരണ നില
ഉപകരണ കുടുംബം | ഉപകരണം | ടൈമിംഗ് മോഡൽ സ്റ്റാറ്റസ് | പവർ മോഡൽ നില | ഉപകരണ നില |
ഇന്റൽ ഏരിയ 10 | 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 | ഫൈനൽ – 16.1 (3)(4) | ഫൈനൽ - 17.0 | ഫൈനൽ - 17.0 |
10AX048, 10AS048 | ഫൈനൽ – 16.0.2 (4) | ഫൈനൽ - 17.0 | ഫൈനൽ - 17.0 | |
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 | ഫൈനൽ – 16.0.1 (4) | ഫൈനൽ - 16.0.1 | ഫൈനൽ - 16.0.1 | |
10AX115, 10AT115 | ഫൈനൽ – 16.0 (4) | ഫൈനൽ - 16.0 | ഫൈനൽ - 16.0 |
(3) -1 സ്പീഡ് ഗ്രേഡുള്ള ഉപകരണങ്ങൾ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയർ പതിപ്പ് 17.0-ൽ അന്തിമമാക്കി
(4) എല്ലാ മിലിട്ടറി ഗ്രേഡ് ഉപകരണങ്ങളും ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയർ പതിപ്പ് 18.0.1-ൽ അന്തിമമാക്കി.
പട്ടിക 6.
Intel Cyclone 10 ഉപകരണങ്ങളുടെ സമയ മോഡൽ, പവർ മോഡൽ, ഉപകരണ നില
ഉപകരണ കുടുംബം | ഉപകരണം | ടൈമിംഗ് മോഡൽ സ്റ്റാറ്റസ് | പവർ മോഡൽ നില | ഉപകരണ നില |
ഇൻ്റൽ സൈക്ലോൺ 10 എൽപി | 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 | ഫൈനൽ - 17.0 | ഫൈനൽ - 17.1 | ഫൈനൽ - 17.1 |
പട്ടിക 7.
Intel MAX 10 ഉപകരണങ്ങൾക്കുള്ള സമയ മോഡൽ, പവർ മോഡൽ, ഉപകരണ നില
ഉപകരണ കുടുംബം | ഉപകരണം | ടൈമിംഗ് മോഡൽ സ്റ്റാറ്റസ് | പവർ മോഡൽ നില | ഉപകരണ നില |
ഇന്റൽ മാക്സ് 10 | 10M02, 10M04, 10M08 | ഫൈനൽ – 15.1 (5) | ഫൈനൽ - 15.1 | ഫൈനൽ - 15.1 |
10M16, 10M25, 10M40, 10M50 | ഫൈനൽ - 15.1.2 | ഫൈനൽ - 15.1 | ഫൈനൽ - 15.1 |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയറിന്റെ നിലവിലെ പതിപ്പിൽ Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V എന്നിവയ്ക്കായുള്ള അവസാന സമയവും പവർ മോഡലുകളും ഉൾപ്പെടുന്നു. SoC, MAX II, MAX II Z, MAX V, സ്ട്രാറ്റിക്സ് IV, സ്ട്രാറ്റിക്സ് V ഉപകരണ കുടുംബങ്ങൾ. ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയർ പതിപ്പുകൾ 11.1-ലോ അതിനുമുമ്പോ ഈ ഉപകരണ കുടുംബങ്ങൾക്കുള്ള ടൈമിംഗ് മോഡലുകൾ അന്തിമമായി.
1.7 IBIS മോഡലുകൾ
പട്ടിക 8. ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയറിനായുള്ള IBIS മോഡൽ സ്റ്റാറ്റസ് പതിപ്പ് 22.1std
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയർ പതിപ്പ് 16.0 മുതൽ, ഉപകരണ കുടുംബങ്ങൾക്ക് അഡ്വാൻസ്, പ്രിലിമിനറി അല്ലെങ്കിൽ ഫൈനൽ എന്നിങ്ങനെയുള്ള IBIS മോഡൽ സ്റ്റാറ്റസുകൾ ഉണ്ട്.
ഉപകരണ കുടുംബം | IBIS മോഡൽ നില |
ഇന്റൽ ഏരിയ 10 | ഫൈനൽ - 16.1.2 |
ആര്യ വി | PHY ഉപകരണ പ്രവർത്തനവുമായി ബന്ധപ്പെട്ടിരിക്കുന്നു - 14.0 |
Arria II GX | PHY ഉപകരണ പ്രവർത്തനവുമായി ബന്ധപ്പെട്ടിരിക്കുന്നു - 11.1 |
Arria II GZ | PHY ഉപകരണ പ്രവർത്തനവുമായി ബന്ധപ്പെട്ടിരിക്കുന്നു - 11.1 |
ഇൻ്റൽ സൈക്ലോൺ 10 എൽപി | ഫൈനൽ - 17.0 |
ചുഴലിക്കാറ്റ് വി | PHY ഉപകരണ പ്രവർത്തനവുമായി ബന്ധപ്പെട്ടിരിക്കുന്നു - 14.0 |
ചുഴലിക്കാറ്റ് IV ഇ | PHY ഉപകരണ പ്രവർത്തനവുമായി ബന്ധപ്പെട്ടിരിക്കുന്നു - 11.1 |
ചുഴലിക്കാറ്റ് IV GX | PHY ഉപകരണ പ്രവർത്തനവുമായി ബന്ധപ്പെട്ടിരിക്കുന്നു - 11.1 |
ഇന്റൽ മാക്സ് 10 | ഫൈനൽ - 16.0 |
മാക്സ് വി | PHY ഉപകരണ പ്രവർത്തനവുമായി ബന്ധപ്പെട്ടിരിക്കുന്നു - 11.1 |
സ്ട്രാറ്റിക്സ് വി | PHY ഉപകരണ പ്രവർത്തനവുമായി ബന്ധപ്പെട്ടിരിക്കുന്നു - 13.0 SP1 |
സ്ട്രാറ്റിക്സ് IV | PHY ഉപകരണ പ്രവർത്തനവുമായി ബന്ധപ്പെട്ടിരിക്കുന്നു - 11.1 |
ഇന്റൽ FPGA ഉപകരണങ്ങൾക്കുള്ള IBIS മോഡലുകളിൽ അപ്ഡേറ്റ് ചെയ്ത IBIS മോഡലുകൾ ഓൺലൈനിൽ ലഭ്യമാണ്. web പേജ്. ഡിവൈസുകൾക്കായുള്ള IBIS മോഡലുകൾ ലഭ്യമാകുന്നതോ അപ്ഡേറ്റ് ചെയ്യുന്നതോ ആയതിനാൽ ഈ പേജ് അപ്ഡേറ്റ് ചെയ്യപ്പെടുന്നു.
(5) MAX 10 A6 സ്പീഡ് ഗ്രേഡ് ഭാഗങ്ങൾക്കായുള്ള ടൈമിംഗ് മോഡൽ സ്റ്റാറ്റസുകൾ പ്രാഥമികമായി തുടരുന്നു.
1.8 EDA ഇന്റർഫേസ് വിവരങ്ങൾ
പട്ടിക 9.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയർ റിലീസ് പതിപ്പ് 22.1std-നെ പിന്തുണയ്ക്കുന്ന സിന്തസിസ് ടൂളുകൾ
സിന്തസിസ് ടൂളുകൾ | പതിപ്പ് |
സീമെൻസ് EDA പ്രിസിഷൻ* | ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയറിനെ പിന്തുണയ്ക്കുന്ന സീമെൻസ് ഇഡിഎ പ്രിസിഷൻ പതിപ്പുകൾ സാധാരണയായി ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയറിന്റെ റിലീസിന് ശേഷം പുറത്തിറങ്ങും. Intel Quartus Prime Standard Edition Software Release Version 22.1std-നെ പിന്തുണയ്ക്കുന്ന Siemens EDA Precision-ന്റെ പതിപ്പുകൾക്കായി Siemens EDA-യെ ബന്ധപ്പെടുക. |
സംഗ്രഹം* സിൻപ്ലിഫൈ*, സിൻപ്ലിഫൈ പ്രോ*, സിൻപ്ലിഫൈ പ്രീമിയർ | Intel Quartus Prime സോഫ്റ്റ്വെയറിനെ പിന്തുണയ്ക്കുന്ന Synopsys Synplify, Synplify Pro, Synplify പ്രീമിയർ പതിപ്പുകൾ സാധാരണയായി ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയർ പുറത്തിറങ്ങിയതിന് ശേഷം പുറത്തിറങ്ങും. Intel Quartus Prime Standard Edition Software Release Version 22.1std പിന്തുണയ്ക്കുന്ന Synopsys Synplify, Synplify Pro, Synplify Premier എന്നിവയുടെ പതിപ്പുകൾക്കായി Synopsys-നെ ബന്ധപ്പെടുക. |
പട്ടിക 10.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പിനെ പിന്തുണയ്ക്കുന്ന സിമുലേഷൻ ടൂളുകൾ സോഫ്റ്റ്വെയർ റിലീസ് പതിപ്പ് 22.1std
ഇനിപ്പറയുന്ന സിമുലേഷൻ ടൂളുകൾ RTL ഉം ഫങ്ഷണൽ ഗേറ്റ്-ലെവൽ സിമുലേഷനും നൽകുന്നു. 64-ബിറ്റ് സിമുലേഷൻ ടൂളുകൾ മാത്രമേ പിന്തുണയ്ക്കൂ.
സിമുലേഷൻ ഉപകരണങ്ങൾ | പതിപ്പ് |
ആൽഡെക് ആക്ടീവ്-എച്ച്ഡിഎൽ | 13.0 (വിൻഡോസ് മാത്രം) |
Aldec Riviera-PRO | 2019.1 |
Cadence Xcelium* പാരലൽ ലോജിക് സിമുലേഷൻ | 21.09.003 (ലിനക്സ്* മാത്രം) |
Questa-Intel FPGA പതിപ്പ് | 2021.2 |
സീമെൻസ് EDA മോഡൽസിം SE | 2020.4 |
സീമെൻസ് EDA ക്വസ്റ്റ അഡ്വാൻസ്ഡ് സിമുലേറ്റർ | 2020.4 |
സംഗ്രഹം VCS*, VCS MX | P-2019.06-SP2-5 (ലിനക്സ് മാത്രം) |
Questa-Intel FPGA പതിപ്പിന് FlexLM ലൈസൻസിംഗ് ഡെമൺ പതിപ്പ് 11.16.4.0 (അല്ലെങ്കിൽ പിന്നീട്) ആവശ്യമാണ്. Intel FPGA സോഫ്റ്റ്വെയറിനായുള്ള ഫ്ലെക്സ്എൽഎം ലൈസൻസ് ഡെമൺസിൽ നിന്ന് നിങ്ങൾക്ക് ലൈസൻസിംഗ് ഡെമൺ ലഭിക്കും. web പേജ്.
എഫ്പിജിഎകൾക്കായുള്ള ഡൗൺലോഡ് സെന്ററിൽ നിന്ന് സിമുലേഷൻ ടൂളുകളുടെ ഇന്റൽ എഫ്പിജിഎ പതിപ്പ് നിങ്ങൾക്ക് ലഭിക്കും.
ക്വെസ്റ്റ-ഇന്റൽ FPGA പതിപ്പ് 2021.2-നുള്ള ഓപ്പറേറ്റിംഗ് സിസ്റ്റം പിന്തുണ
- Red Hat Enterprise Linux 7 (64-ബിറ്റ്)
- Red Hat Enterprise Linux 8 (64-ബിറ്റ്)
- SUSE Linux എന്റർപ്രൈസ് സെർവർ 12 (64-ബിറ്റ്)
- Windows 10 (64-ബിറ്റ്)
ബന്ധപ്പെട്ട വിവരങ്ങൾ
- ലിനക്സിനുള്ള ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ ഡിസൈൻ സോഫ്റ്റ്വെയർ
- വിൻഡോസിനായുള്ള ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ ഡിസൈൻ സോഫ്റ്റ്വെയർ
- ലിനക്സിനുള്ള ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ലൈറ്റ് എഡിഷൻ ഡിസൈൻ സോഫ്റ്റ്വെയർ
- വിൻഡോസിനായുള്ള ഇന്റൽ ക്വാർട്ടസ് പ്രൈം ലൈറ്റ് എഡിഷൻ ഡിസൈൻ സോഫ്റ്റ്വെയർ
1.9 ആന്റിവൈറസ് പരിശോധന
Intel Quartus Prime സോഫ്റ്റ്വെയർ ഇനിപ്പറയുന്ന സോഫ്റ്റ്വെയർ ഉപയോഗിച്ച് വൈറസ് രഹിതമാണെന്ന് സ്ഥിരീകരിച്ചു:
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പിനുള്ള ആന്റിവൈറസ് വെരിഫിക്കേഷൻ സോഫ്റ്റ്വെയർ പതിപ്പ് 22.1std.1
Linux64 പതിപ്പിനായുള്ള മക്കാഫി വൈറസ് സ്കാൻ കമാൻഡ് ലൈൻ: 7.0.0.477
AV എഞ്ചിൻ പതിപ്പ്: Linux6300.9389-ന് 64.
ഡാറ്റ സെറ്റ് പതിപ്പ്: 10629 സൃഷ്ടിച്ചത് ഫെബ്രുവരി 22, 2023
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പിനുള്ള ആന്റിവൈറസ് വെരിഫിക്കേഷൻ സോഫ്റ്റ്വെയർ പതിപ്പ് 22.1std
Linux64 പതിപ്പിനായുള്ള മക്കാഫി വൈറസ് സ്കാൻ കമാൻഡ് ലൈൻ: 7.0.0.477
AV എഞ്ചിൻ പതിപ്പ്: Linux6300.9389-ന് 64.
ഡാറ്റ സെറ്റ് പതിപ്പ്: 10505 സൃഷ്ടിച്ചത് ഒക്ടോബർ 19, 2022
1.10 സോഫ്റ്റ്വെയർ പ്രശ്നങ്ങൾ പരിഹരിച്ചു
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് പതിപ്പ് 22.1std.1-ൽ ഉപഭോക്തൃ സേവന അഭ്യർത്ഥനകളൊന്നും പരിഹരിച്ചിട്ടില്ല.
ഇനിപ്പറയുന്ന ഉപഭോക്തൃ സേവന അഭ്യർത്ഥനകൾ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 22.1std-ൽ പരിഹരിക്കപ്പെടുകയോ പരിഹരിക്കപ്പെടുകയോ ചെയ്തു:
പട്ടിക 11.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 22.1std-ൽ പ്രശ്നങ്ങൾ പരിഹരിച്ചു
ഇന്റൽ പ്രീമിയർ സപ്പോർട്ട് കേസ് നമ്പറുകൾ | |||||||
00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
00698210 | 00698732 | 05129080 | 05465225 | 11396299 |
1.11. ഈ റിലീസിൽ ഉൾപ്പെടുത്തിയിരിക്കുന്ന സോഫ്റ്റ്വെയർ പാച്ചുകൾ
Intel Quartus Prime Standard Edition പതിപ്പ് 22.1std.1-ൽ Intel Quartus Prime Standard Edition സോഫ്റ്റ്വെയറിന്റെ മുൻ പതിപ്പുകൾക്കായി ഇനിപ്പറയുന്ന പാച്ചുകൾ അടങ്ങിയിരിക്കുന്നു:
പട്ടിക 12.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പിൽ സോഫ്റ്റ്വെയർ പാച്ചുകൾ ഉൾപ്പെടുത്തിയിട്ടുണ്ട് 22.1std.1
സോഫ്റ്റ്വെയർ പതിപ്പ് | പാച്ച് | ഉപഭോക്തൃ സേവന അഭ്യർത്ഥന നമ്പർ |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 22.1 | 0.01std | – |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 21.1 | 0.14std | 00741067 |
Intel Quartus Prime Standard Edition പതിപ്പ് 22.1std-ൽ Intel Quartus Prime Standard Edition സോഫ്റ്റ്വെയറിന്റെ മുൻ പതിപ്പുകൾക്കായി ഇനിപ്പറയുന്ന പാച്ചുകൾ അടങ്ങിയിരിക്കുന്നു:
പട്ടിക 13. ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 22.1std-ൽ ഉൾപ്പെടുത്തിയിരിക്കുന്ന സോഫ്റ്റ്വെയർ പാച്ചുകൾ
സോഫ്റ്റ്വെയർ പതിപ്പ് | പാച്ച് | ഉപഭോക്തൃ സേവന അഭ്യർത്ഥന നമ്പർ |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 21.1 | 0.10std | – |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 21.1 | 0.08std | 00693884 |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 21.1 | 0.07std | 00501636 |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 21.1 | 0.06std | 00689611 |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 21.1 | 0.04stdp | – |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 21.1 | 0.03std | – |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 21.1 | 0.02std | – |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 20.1.1 | 1.09std | 00702107 |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 20.1 | 0.14std | 00702107 |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 18.1.1 | 1.13std | – |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 18.1.1 | 1.12std | – |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 18.1.1 | 1.09std | – |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 18.1 | 0.23std | 00698210 |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 18.1 | 0.21std | 00669646 |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് 18.1 | 0.20std | 00689611 |
1.12 ഏറ്റവും പുതിയ അറിയപ്പെടുന്ന ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയർ പ്രശ്നങ്ങൾ
Intel Quartus Prime Standard Edition പതിപ്പ് 22.1std-യെ ബാധിക്കുന്ന അറിയപ്പെടുന്ന പ്രശ്നങ്ങളെക്കുറിച്ചുള്ള വിവരങ്ങൾ Intel FPGA നോളജ് ബേസിൽ ലഭ്യമാണ്.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 22.1std-നെ ബാധിക്കുന്ന പ്രശ്നങ്ങളെക്കുറിച്ചുള്ള ഏറ്റവും പുതിയ വിവരങ്ങൾക്ക്, വീണ്ടുംview ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 22.1std-ന് ബാധകമായ Intel FPGA നോളജ് ബേസ് ലേഖനങ്ങൾ.
പട്ടിക 14.
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് പതിപ്പ് 22.1std-നെ ബാധിക്കുന്ന പ്രധാനപ്പെട്ട അറിയപ്പെടുന്ന പ്രശ്നങ്ങൾ
വിവരണം | പരിഹാര മാർഗം |
Microsoft* Windows സിസ്റ്റങ്ങളിൽ, SDI II Intel FPGA IP ഡിസൈൻ മുൻampഇനിപ്പറയുന്ന പിശക് സന്ദേശം ഉപയോഗിച്ച് le ജനറേഷൻ പരാജയപ്പെടുന്നു: പിശക്: മുൻ സൃഷ്ടിക്കുന്നതിൽ പരാജയപ്പെട്ടുampലെ ഡിസൈൻ മുൻample_design to:: \sdi_ii_0_example_design |
വിശദാംശങ്ങൾക്കും പരിഹാരത്തിന്റെ ലഭ്യതയ്ക്കും, റഫർ ചെയ്യുക എന്തുകൊണ്ടാണ് എസ്ഡിഐ II ഇന്റൽ എഫ്പിജിഎ ഐപി ഡിസൈൻ ചെയ്യുന്നത്ampവിൻഡോസിനായി ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയർ ഉപയോഗിക്കുമ്പോൾ ജനറേഷൻ പരാജയപ്പെടുമോ? Intel FPGA നോളജ് ബേസിൽ. |
മൈക്രോസോഫ്റ്റ് വിൻഡോസ് സിസ്റ്റങ്ങളിൽ, ഒരു Intel Arria 10 EMIF Ex സൃഷ്ടിക്കുമ്പോൾ ഇനിപ്പറയുന്ന പിശക് സംഭവിക്കുന്നുample സിമുലേഷനായുള്ള ഡിസൈൻ: പിശക്: emif_0: സിമുലേഷൻ സൃഷ്ടിക്കുമ്പോൾ ഒരു പിശക് സംഭവിച്ചുampലെ ഡിസൈൻ. വിശദാംശങ്ങൾക്ക് make_sim_design_errors.log കാണുക. പിശക്: മുൻ സൃഷ്ടിക്കുന്നതിൽ പരാജയപ്പെട്ടുampലെ ഡിസൈൻ ഇതിലേക്ക്:ample ഡിസൈൻ ഡയറക്ടറി> സൃഷ്ടിക്കുക Exampലെ ഡിസൈൻ: പിശകുകളോടെ പൂർത്തിയാക്കി |
നിങ്ങൾക്ക് ഈ മുന്നറിയിപ്പ് സന്ദേശങ്ങൾ സുരക്ഷിതമായി അവഗണിക്കാം. സിമുലേഷൻ file സീമെൻസ് EDA Questa, Aldec Riviera-PRO സിമുലേഷൻ സോഫ്റ്റ്വെയർ എന്നിവയ്ക്കായുള്ള സെറ്റുകൾ ജനറേറ്റുചെയ്യുകയും പ്രസക്തമായ ഡിസൈൻ ഉൾക്കൊള്ളുകയും ചെയ്യുന്നു. fileസിമുലേഷൻ വിജയകരമായി പ്രവർത്തിപ്പിക്കുന്നതിന്. കൂടുതൽ വിശദാംശങ്ങൾക്കും പരിഹാരത്തിന്റെ ലഭ്യതയ്ക്കും, റഫർ ചെയ്യുക എന്തുകൊണ്ടാണ് ഇന്റൽ അരിയ 10 ഇഎംഐഎഫ് എക്സ്ampവിൻഡോസിനായി ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയർ പതിപ്പ് 22.1 ഉപയോഗിക്കുമ്പോൾ ഡിസൈൻ ജനറേഷൻ പരാജയപ്പെടുമോ? Intel FPGA നോളജ് ബേസിൽ. |
നിങ്ങൾ Intel Arria 10 EMIF IP സ്കിപ്പ് കാലിബ്രേഷൻ മോഡ് ഉപയോഗിക്കുമ്പോൾ, Siemens EDA Questa സിമുലേഷൻ സോഫ്റ്റ്വെയർ (Siemens EDA Questa) ഉള്ള Intel Arria 10 EMIF IP-യുടെ അനുകരണം വിപുലമായ സിമുലേറ്റർ അല്ലെങ്കിൽ ക്വസ്റ്റ-ഇന്റൽ FPGA പതിപ്പ്) ഹാംഗ് ചെയ്യാൻ കഴിയും. |
ഹാംഗ് തടയാൻ ഫാസ്റ്റ് സിമുലേഷൻ സിമുലേഷൻ ഓപ്ഷനായി അബ്സ്ട്രാക്റ്റ് PHY ഉപയോഗിക്കുക. കൂടുതൽ വിശദാംശങ്ങൾക്കും പരിഹാരത്തിന്റെ ലഭ്യതയ്ക്കും, റഫർ ചെയ്യുക ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയർ പതിപ്പ് 10 ഉപയോഗിക്കുമ്പോൾ മെന്റർ സിമുലേറ്ററുകളിലെ ഇന്റൽ അരിയ 22.1 ഇഎംഐഎഫ് ഐപിയുടെ സിമുലേഷൻ ഹാംഗ് ചെയ്യുന്നത് എന്തുകൊണ്ട്? Intel FPGA നോളജ് ബേസിൽ. |
Intel FPGA നോളജ് ബേസിൽ Quartus Prime സോഫ്റ്റ്വെയറിന്റെ മുൻ പതിപ്പുകൾക്കായുള്ള അറിയപ്പെടുന്ന പ്രശ്ന വിവരങ്ങൾ നിങ്ങൾക്ക് കണ്ടെത്താനാകും. web പേജ്.
Quartus II സോഫ്റ്റ്വെയറിന്റെ മുൻ പതിപ്പുകളെ ബാധിക്കുന്ന അറിയപ്പെടുന്ന സോഫ്റ്റ്വെയർ പ്രശ്നങ്ങളെക്കുറിച്ചുള്ള വിവരങ്ങൾ Intel Quartus Prime, Quartus II സോഫ്റ്റ്വെയർ പിന്തുണയിൽ ലഭ്യമാണ്. web പേജ്.
ഇന്റൽ എഫ്പിജിഎ ഐപി ലൈബ്രറിയെ ബാധിക്കുന്ന പ്രശ്നങ്ങളെക്കുറിച്ചുള്ള വിവരങ്ങൾ ഓരോ ഐപിയുടെയും റിലീസ് കുറിപ്പുകളിൽ ലഭ്യമാണ്. Intel FPGA ഡോക്യുമെന്റേഷൻ സൂചികയിൽ നിങ്ങൾക്ക് IP റിലീസ് കുറിപ്പുകൾ കണ്ടെത്താം web പേജ്.
ബന്ധപ്പെട്ട വിവരങ്ങൾ
- ഇന്റൽ FPGA നോളജ് ബേസ്
- ഇന്റൽ ക്വാർട്ടസ് പ്രൈം, ക്വാർട്ടസ് II സോഫ്റ്റ്വെയർ പിന്തുണ
- Intel FPGA-കളും പ്രോഗ്രാം ചെയ്യാവുന്ന ഉപകരണങ്ങളും റിലീസ് കുറിപ്പുകൾ
1.13 ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയറും ഉപകരണവും പിന്തുണ റിലീസ് കുറിപ്പുകൾ ആർക്കൈവ്സ്
ഈ റിലീസ് കുറിപ്പുകളുടെ ഏറ്റവും പുതിയതും മുമ്പുള്ളതുമായ പതിപ്പുകൾക്കായി, ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയറും ഉപകരണ പിന്തുണ റിലീസ് കുറിപ്പുകളും കാണുക. ഒരു സോഫ്റ്റ്വെയർ പതിപ്പ് ലിസ്റ്റ് ചെയ്തിട്ടില്ലെങ്കിൽ, മുമ്പത്തെ സോഫ്റ്റ്വെയർ പതിപ്പിന്റെ റിലീസ് കുറിപ്പുകൾ ബാധകമാണ്.
1.14 ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയർ റിലീസ് പതിപ്പ് 22.1std ഡോക്യുമെന്റ് റിവിഷൻ ചരിത്രം
പ്രമാണ പതിപ്പ് | ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് | മാറ്റങ്ങൾ |
2023.03.21 | 22.1std.1 | • 22.1std.1 പതിപ്പിനായി അപ്ഡേറ്റ് ചെയ്തു • പതിപ്പ് 22.1std-ന്റെ തിരുത്തിയ പതിപ്പ് നമ്പർ. |
2022.11.07 | 22.1std | • അറിയപ്പെടുന്ന ഏറ്റവും പുതിയ സോഫ്റ്റ്വെയർ പ്രശ്നങ്ങൾ അപ്ഡേറ്റുചെയ്തു. |
2022.10.31 | 22.1std | • പ്രാരംഭ പ്രകാശനം. |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ: പതിപ്പ് 22.1std സോഫ്റ്റ്വെയറും ഉപകരണ പിന്തുണയും റിലീസ് കുറിപ്പുകൾ
ഓൺലൈൻ പതിപ്പ്
ഫീഡ്ബാക്ക് അയയ്ക്കുക
ഐഡി: 683593
RN-01080-22.1std
പതിപ്പ്: 2023.03.21
പ്രമാണങ്ങൾ / വിഭവങ്ങൾ
![]() |
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ [pdf] ഉപയോക്തൃ ഗൈഡ് ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ, പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ, സ്റ്റാൻഡേർഡ് എഡിഷൻ |