ഇന്റൽ ലോഗോ

intel ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് Agilex FPGA IP ഡിസൈൻ എക്സ്ample

intel ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് Agilex FPGA IP ഡിസൈൻ എക്സ്ample

ദ്രുത ആരംഭ ഗൈഡ്

Intel Agilex™-നുള്ള ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് Intel® FPGA IP മുൻ ഡിസൈൻ സൃഷ്ടിക്കുന്നതിനുള്ള കഴിവ് നൽകുന്നു.ampതിരഞ്ഞെടുത്ത കോൺഫിഗറേഷനുകൾക്കുള്ള les, ഇത് നിങ്ങളെ അനുവദിക്കുന്നു:

  • ഐപി ഏരിയ ഉപയോഗവും സമയവും കണക്കാക്കാൻ ഡിസൈൻ കംപൈൽ ചെയ്യുക.
  • സിമുലേഷനിലൂടെ ഐപി പ്രവർത്തനം പരിശോധിക്കാൻ ഡിസൈൻ അനുകരിക്കുക.
  • Intel Agilex I-Series Transceiver-SoC ഡെവലപ്‌മെന്റ് കിറ്റ് ഉപയോഗിച്ച് ഹാർഡ്‌വെയറിലെ ഡിസൈൻ പരീക്ഷിക്കുക.
  • നിങ്ങൾ ഒരു ഡിസൈൻ സൃഷ്ടിക്കുമ്പോൾ മുൻample, പാരാമീറ്റർ എഡിറ്റർ യാന്ത്രികമായി സൃഷ്ടിക്കുന്നു fileഹാർഡ്‌വെയറിൽ ഡിസൈൻ അനുകരിക്കാനും കംപൈൽ ചെയ്യാനും പരിശോധിക്കാനും ആവശ്യമാണ്.

കുറിപ്പ്: Intel Quartus® Prime Pro എഡിഷൻ സോഫ്റ്റ്‌വെയർ പതിപ്പ് 22.3-ൽ നിലവിൽ ഹാർഡ്‌വെയർ പിന്തുണ ലഭ്യമല്ല.

വികസനം എസ്tagഡിസൈൻ എക്സ്ampleintel ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് Agilex FPGA IP ഡിസൈൻ എക്സ്ampലെ - 1

കുറിപ്പ്: ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ സോഫ്‌റ്റ്‌വെയർ പതിപ്പ് 22.3-ൽ, ഡിസൈനിലെ സിമുലേഷൻ പരാജയം ഒഴിവാക്കാൻ ഒരു പാച്ച് ആവശ്യമാണ്.ample. കൂടുതൽ വിവരങ്ങൾക്ക്, KDB ലിങ്ക് കാണുക: ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് ഇന്റൽ FPGA IP മൾട്ടിപോർട്ട് ഡിസൈൻ എക്സിനായി എന്തുകൊണ്ട് സിമുലേഷൻ പരാജയപ്പെടുന്നുampലെ?.

ബന്ധപ്പെട്ട വിവരങ്ങൾ
ട്രിപ്പിൾ സ്പീഡ് ഇഥർനെറ്റ് ഇന്റൽ എഫ്പിജിഎ ഐപി മൾട്ടിപോർട്ട് ഡിസൈൻ എക്സിനായി എന്തുകൊണ്ട് സിമുലേഷൻ പരാജയപ്പെടുന്നുampലെ?.

ഡയറക്ടറി ഘടന

ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് ഇന്റൽ FPGA IP ഡിസൈൻ മുൻample file ഡയറക്‌ടറികളിൽ ഇനിപ്പറയുന്ന ജനറേറ്റഡ് അടങ്ങിയിരിക്കുന്നു file10/100/1000 മൾട്ടിപോർട്ട് ഇഥർനെറ്റ് MAC ഡിസൈൻ എക്സ്amp1000BASE-X/SGMII പിസിഎസും എംബഡഡ് പിഎംഎയും ഉള്ള le

  • ഹാർഡ്‌വെയർ കോൺഫിഗറേഷനും പരിശോധനയും files (ഹാർഡ്‌വെയർ ഡിസൈൻ ഉദാample) സ്ഥിതി ചെയ്യുന്നത്ample_dir>/hardware_test_design.
  • സിമുലേഷൻ files (സിമുലേഷനുള്ള ടെസ്റ്റ്ബെഞ്ച്) സ്ഥിതി ചെയ്യുന്നത്ample_dir>/ഉദാample_testbench.
  • സമാഹാരം മാത്രമുള്ള ഡിസൈൻ മുൻample സ്ഥിതി ചെയ്യുന്നത്ample_dir>/ compilation_test_design.
  • കമ്പൈലേഷൻ ടെസ്റ്റും ഹാർഡ്‌വെയർ ടെസ്റ്റ് ഡിസൈനുകളും ഉപയോഗിക്കുന്നു fileൽample_dir>/ex_tse/common.

ഡിസൈനിനായുള്ള ഡയറക്ടറി ഘടന എക്സിampleintel ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് Agilex FPGA IP ഡിസൈൻ എക്സ്ampലെ - 2

പട്ടിക 1. ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് ഇന്റൽ FPGA IP ടെസ്റ്റ്ബെഞ്ച് File വിവരണം

ഡയറക്ടറി/File വിവരണം
ടെസ്റ്റ് ബെഞ്ചും സിമുലേഷനും Files
<design_example_dir>/ഉദാample_testbench/ basic_avl_tb_top_mac_pcs.sv ഉയർന്ന തലത്തിലുള്ള ടെസ്റ്റ് ബെഞ്ച് file. ടെസ്റ്റ്ബെഞ്ച് DUT-നെ ഇൻസ്റ്റൻഷ്യേറ്റ് ചെയ്യുകയും പാക്കറ്റുകൾ സൃഷ്ടിക്കുന്നതിനും സ്വീകരിക്കുന്നതിനും വെരിലോഗ് HDL ടാസ്‌ക്കുകൾ പ്രവർത്തിപ്പിക്കുകയും ചെയ്യുന്നു.
ടെസ്റ്റ്ബെഞ്ച് സ്ക്രിപ്റ്റുകൾ
<design_example_dir>/ഉദാample_testbench/ run_vsim_mac_pcs.sh ടെസ്റ്റ്ബെഞ്ച് പ്രവർത്തിപ്പിക്കുന്നതിനുള്ള മോഡൽസിം സ്ക്രിപ്റ്റ്.
തുടർന്നു…
ഡയറക്ടറി/File വിവരണം
<design_example_dir>/ഉദാample_testbench/ run_vcs_mac_pcs.sh ടെസ്റ്റ്ബെഞ്ച് പ്രവർത്തിപ്പിക്കുന്നതിനുള്ള സിനോപ്സിസ്* VCS സ്ക്രിപ്റ്റ്.
<design_example_dir>/ഉദാample_testbench/ run_vcsmx_mac_pcs.sh ടെസ്റ്റ്ബെഞ്ച് പ്രവർത്തിപ്പിക്കുന്നതിന് സിനോപ്സിസ് വിസിഎസ് എംഎക്സ് സ്ക്രിപ്റ്റ് (വെറിലോഗ് എച്ച്ഡിഎൽ, സിസ്റ്റം വെരിലോഗ് വിഎച്ച്ഡിഎൽ എന്നിവ സംയോജിപ്പിച്ചിരിക്കുന്നു)
<design_example_dir>/ഉദാample_testbench/ run_xcelium_mac_pcs.sh ടെസ്റ്റ്ബെഞ്ച് പ്രവർത്തിപ്പിക്കുന്നതിനുള്ള Xcelium* സ്ക്രിപ്റ്റ്.

പട്ടിക 2. ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് ഇന്റൽ FPGA IP ഹാർഡ്‌വെയർ ഡിസൈൻ എക്‌സ്ample File വിവരണം

ഡയറക്ടറി/File വിവരണം
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.qpf ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് file.
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.qsf ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് ക്രമീകരണങ്ങൾ file.
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.sdc സിനോപ്സിസ് ഡിസൈൻ നിയന്ത്രണങ്ങൾ fileഎസ്. നിങ്ങൾക്ക് ഇവ പകർത്താനും പരിഷ്ക്കരിക്കാനും കഴിയും fileനിങ്ങളുടെ സ്വന്തം ഇന്റൽ സ്ട്രാറ്റിക്സ്® 10 രൂപകൽപ്പനയ്ക്ക് വേണ്ടിയുള്ളതാണ്.
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.v ടോപ്പ് ലെവൽ വെരിലോഗ് എച്ച്ഡിഎൽ ഡിസൈൻ എക്സിample file.
<design_example_dir>/hardware_test_design/ common/ ഹാർഡ്‌വെയർ ഡിസൈൻ മുൻampലെ പിന്തുണ files.

ഡിസൈൻ എക്സി ജനറേറ്റുചെയ്യുന്നുample

ഡിസൈൻ സൃഷ്ടിക്കുന്നതിനുള്ള നടപടിക്രമം Exampleintel ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് Agilex FPGA IP ഡിസൈൻ എക്സ്ampലെ - 3

Exampട്രിപ്പിൾ സ്പീഡ് ഇഥർനെറ്റ് ഇന്റൽ FPGA IP പാരാമീറ്റർ എഡിറ്ററിൽ ഡിസൈൻ ടാബ്intel ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് Agilex FPGA IP ഡിസൈൻ എക്സ്ampലെ - 4

ഹാർഡ്‌വെയർ ഡിസൈൻ സൃഷ്ടിക്കാൻ ഈ ഘട്ടങ്ങൾ പാലിക്കുകampലെയും ടെസ്റ്റ് ബെഞ്ചും:

  • Intel Quartus Prime Pro Edition സോഫ്റ്റ്‌വെയറിൽ ക്ലിക്ക് ചെയ്യുക File ➤ ഒരു പുതിയ ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് സൃഷ്ടിക്കാൻ പുതിയ പ്രോജക്റ്റ് വിസാർഡ്, അല്ലെങ്കിൽ File ➤ നിലവിലുള്ള ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് തുറക്കാൻ പ്രോജക്റ്റ് തുറക്കുക. ഒരു ഉപകരണം വ്യക്തമാക്കാൻ വിസാർഡ് നിങ്ങളോട് ആവശ്യപ്പെടുന്നു.
  • Intel Agilex ഉപകരണ കുടുംബം തിരഞ്ഞെടുത്ത് LVDS ഉള്ള ഒരു ഉപകരണം തിരഞ്ഞെടുക്കുക.
  • വിസാർഡ് അടയ്‌ക്കാൻ പൂർത്തിയാക്കുക ക്ലിക്കുചെയ്യുക.
  • IP കാറ്റലോഗിൽ, ഇന്റർഫേസ് പ്രോട്ടോക്കോൾ ➤ ഇഥർനെറ്റ് ➤ 1G മൾട്ടിറേറ്റ് കണ്ടെത്തി തിരഞ്ഞെടുക്കുക
  • ഇഥർനെറ്റ് ➤ ട്രിപ്പിൾ സ്പീഡ് ഇഥർനെറ്റ് ഇന്റൽ FPGA IP. പുതിയ ഐപി വേരിയേഷൻ വിൻഡോ ദൃശ്യമാകുന്നു.
  • ഒരു ഉയർന്ന തലത്തിലുള്ള പേര് വ്യക്തമാക്കുക നിങ്ങളുടെ ഇഷ്‌ടാനുസൃത IP വ്യതിയാനത്തിന്. പാരാമീറ്റർ എഡിറ്റർ IP വേരിയേഷൻ ക്രമീകരണങ്ങൾ a-ൽ സംരക്ഷിക്കുന്നു file പേരിട്ടു .ip.
  • ശരി ക്ലിക്ക് ചെയ്യുക. പാരാമീറ്റർ എഡിറ്ററുകൾ ദൃശ്യമാകുന്നു.
  • ഒരു ഡിസൈൻ സൃഷ്ടിക്കാൻ മുൻampലെ, ഒരു ഡിസൈൻ തിരഞ്ഞെടുക്കുക മുൻampപ്രീസെറ്റ് ലൈബ്രറിയിൽ നിന്ന് പ്രീസെറ്റ് ചെയ്ത് പ്രയോഗിക്കുക ക്ലിക്ക് ചെയ്യുക. നിങ്ങൾ ഒരു ഡിസൈൻ തിരഞ്ഞെടുക്കുമ്പോൾ, ഡിസൈനിനായുള്ള ഐപി പാരാമീറ്ററുകൾ സിസ്റ്റം സ്വയമേവ പോപ്പുലേറ്റ് ചെയ്യുന്നു. പാരാമീറ്റർ എഡിറ്റർ സ്വയമേവ ഡിസൈൻ എക്‌സൈസ് സൃഷ്‌ടിക്കുന്നതിന് ആവശ്യമായ പാരാമീറ്ററുകൾ സജ്ജമാക്കുന്നുample. IP ടാബിൽ പ്രീസെറ്റ് പാരാമീറ്ററുകൾ മാറ്റരുത്.
  • ഉദാampലെ ഡിസൈൻ Files, ടെസ്റ്റ്ബെഞ്ച് ജനറേറ്റ് ചെയ്യുന്നതിനുള്ള സിമുലേഷൻ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക, അല്ലെങ്കിൽ ഹാർഡ്‌വെയർ ഡിസൈൻ സൃഷ്ടിക്കുന്നതിനുള്ള സിന്തസിസ് ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക.ample.
  • ശ്രദ്ധിക്കുക: ഡിസൈൻ എക്‌സൈസ് സൃഷ്‌ടിക്കാൻ നിങ്ങൾ ഓപ്ഷനുകളിലൊന്നെങ്കിലും തിരഞ്ഞെടുക്കണംample.
  • എക്സിയിൽampലെ ഡിസൈൻ ടാബിൽ, ജനറേറ്റഡ് എച്ച്ഡിഎൽ ഫോർമാറ്റിന് കീഴിൽ, വെരിലോഗ് എച്ച്ഡിഎൽ അല്ലെങ്കിൽ വിഎച്ച്ഡിഎൽ തിരഞ്ഞെടുക്കുക.
  • ടാർഗെറ്റ് ഡെവലപ്‌മെന്റ് കിറ്റിന് കീഴിൽ, Agilex I-Series Transceiver-SoC ഡെവലപ്‌മെന്റ് കിറ്റ് (AGIB027R31B1E2VR0) തിരഞ്ഞെടുക്കുക അല്ലെങ്കിൽ ഒന്നുമില്ല തിരഞ്ഞെടുക്കുക
  • Ex ക്ലിക്ക് ചെയ്യുകampലെ ഡിസൈൻ: "ഉദാample_design” ബട്ടൺ. സെലക്ട് എക്സിample ഡിസൈൻ ഡയറക്ടറി വിൻഡോ ദൃശ്യമാകുന്നു.
  • നിങ്ങൾക്ക് ഡിസൈൻ പരിഷ്കരിക്കണമെങ്കിൽ മുൻample ഡയറക്ടറി പാത്ത് അല്ലെങ്കിൽ പ്രദർശിപ്പിച്ച സ്ഥിരസ്ഥിതികളിൽ നിന്നുള്ള പേര് (eth_tse_0_example_design), പുതിയ പാതയിലേക്ക് ബ്രൗസ് ചെയ്ത് പുതിയ ഡിസൈൻ ടൈപ്പ് ചെയ്യുകample ഡയറക്ടറിയുടെ പേര് (ample_dir>).
  • ശരി ക്ലിക്ക് ചെയ്യുക.

ഡിസൈൻ എക്സിampലെ പാരാമീറ്ററുകൾ

Ex ലെ പാരാമീറ്ററുകൾampലെ ഡിസൈൻ ടാബ്

പരാമീറ്റർ വിവരണം
ഡിസൈൻ തിരഞ്ഞെടുക്കുക ലഭ്യമാണ് മുൻample IP പാരാമീറ്റർ ക്രമീകരണങ്ങൾക്കായുള്ള ഡിസൈനുകൾ.
Exampലെ ഡിസൈൻ Files ദി fileവ്യത്യസ്‌ത വികസന ഘട്ടങ്ങൾക്കായി സൃഷ്‌ടിക്കുന്നതിനുള്ള എസ്.

• സിമുലേഷൻ-ആവശ്യമായത് സൃഷ്ടിക്കുന്നു fileഎക്സിയെ അനുകരിക്കുന്നതിനുള്ള എസ്ampലെ ഡിസൈൻ.

• സിന്തസിസ്-സിന്തസിസ് ഉണ്ടാക്കുന്നു fileഎസ്. ഇവ ഉപയോഗിക്കുക fileഹാർഡ്‌വെയർ പരിശോധനയ്‌ക്കായി ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ സോഫ്‌റ്റ്‌വെയറിൽ ഡിസൈൻ കംപൈൽ ചെയ്യാനും സ്റ്റാറ്റിക് ടൈമിംഗ് അനാലിസിസ് നടത്താനും എസ്.

സൃഷ്ടിക്കുക File ഫോർമാറ്റ് RTL-ന്റെ ഫോർമാറ്റ് fileസിമുലേഷനുള്ള s-Verilog അല്ലെങ്കിൽ VHDL.
ബോർഡ് തിരഞ്ഞെടുക്കുക ഡിസൈൻ നടപ്പിലാക്കുന്നതിനുള്ള പിന്തുണയുള്ള ഹാർഡ്‌വെയർ. നിങ്ങൾ ഒരു Intel FPGA ഡെവലപ്‌മെന്റ് ബോർഡ് തിരഞ്ഞെടുക്കുമ്പോൾ, ടാർഗെറ്റ് ഉപകരണം ഡെവലപ്‌മെന്റ് കിറ്റിലെ ഉപകരണവുമായി പൊരുത്തപ്പെടുന്ന ഒന്നാണ്.

ഈ മെനു ലഭ്യമല്ലെങ്കിൽ, നിങ്ങൾ തിരഞ്ഞെടുക്കുന്ന ഓപ്ഷനുകൾക്ക് പിന്തുണയുള്ള ബോർഡ് ഇല്ല.

Agilex I-Series Transceiver-SoC വികസന കിറ്റ്: ഈ ഓപ്ഷൻ നിങ്ങളെ ഡിസൈൻ എക്സ് പരീക്ഷിക്കാൻ അനുവദിക്കുന്നുampതിരഞ്ഞെടുത്ത Intel FPGA IP ഡവലപ്മെന്റ് കിറ്റിൽ. ഈ ഓപ്ഷൻ സ്വയമേവ തിരഞ്ഞെടുക്കുന്നു ടാർഗെറ്റ് ഉപകരണം Intel FPGA IP ഡെവലപ്‌മെന്റ് കിറ്റിലെ ഉപകരണവുമായി പൊരുത്തപ്പെടുന്നതിന്. നിങ്ങളുടെ ബോർഡ് പുനരവലോകനത്തിന് മറ്റൊരു ഉപകരണ ഗ്രേഡ് ഉണ്ടെങ്കിൽ, നിങ്ങൾക്ക് ടാർഗെറ്റ് ഉപകരണം മാറ്റാനാകും.

ഒന്നുമില്ല: ഈ ഓപ്‌ഷൻ മുൻ ഡിസൈനിന്റെ ഹാർഡ്‌വെയർ വശങ്ങൾ ഒഴിവാക്കുന്നുample.

ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് ഇന്റൽ FPGA IP ഡിസൈൻ അനുകരിക്കുന്നു Exampലെ ടെസ്റ്റ്ബെഞ്ച്

എക്സിമുലേറ്റ് ചെയ്യുന്നതിനുള്ള നടപടിക്രമംampലെ ടെസ്റ്റ്ബെഞ്ച്intel ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് Agilex FPGA IP ഡിസൈൻ എക്സ്ampലെ - 5

ടെസ്റ്റ് ബെഞ്ച് അനുകരിക്കാൻ ഈ ഘട്ടങ്ങൾ പാലിക്കുക:

  • ടെസ്റ്റ്ബെഞ്ച് സിമുലേഷൻ ഡയറക്ടറിയിലേക്ക് മാറ്റുകample_dir>/ ഉദാample_testbench.
  • നിങ്ങൾക്ക് ഇഷ്ടമുള്ള പിന്തുണയുള്ള സിമുലേറ്ററിനായി സിമുലേഷൻ സ്ക്രിപ്റ്റ് പ്രവർത്തിപ്പിക്കുക. സ്ക്രിപ്റ്റ് കംപൈൽ ചെയ്യുകയും സിമുലേറ്ററിൽ ടെസ്റ്റ്ബെഞ്ച് പ്രവർത്തിപ്പിക്കുകയും ചെയ്യുന്നു. ടെസ്റ്റ് ബെഞ്ച് അനുകരിക്കുന്നതിനുള്ള ഘട്ടങ്ങൾ പട്ടിക കാണുക.

ടെസ്റ്റ് ബെഞ്ച് അനുകരിക്കാനുള്ള നടപടികൾ

സിമുലേറ്റർ നിർദ്ദേശങ്ങൾ
മോഡൽസിം* കമാൻഡ് ലൈനിൽ, vsim -do run_vsim_mac_pcs.do എന്ന് ടൈപ്പ് ചെയ്യുക. ModelSim GUI കൊണ്ടുവരാതെ അനുകരിക്കാൻ നിങ്ങൾ ആഗ്രഹിക്കുന്നുവെങ്കിൽ, vsim -c -do run_vsim_mac_pcs.do എന്ന് ടൈപ്പ് ചെയ്യുക.
സംഗ്രഹം VCS*/ VCS MX കമാൻഡ് ലൈനിൽ, sh run_vcs_mac_pcs.sh അല്ലെങ്കിൽ sh run_vcsmx_mac_pcs.sh എന്ന് ടൈപ്പ് ചെയ്യുക.
എക്സെലിയം കമാൻഡ് ലൈനിൽ, sh run_xcelium_mac_pcs.sh എന്ന് ടൈപ്പ് ചെയ്യുക.
  • ഫലങ്ങൾ വിശകലനം ചെയ്യുക. വിജയകരമായ ടെസ്റ്റ്ബെഞ്ച് പത്ത് പാക്കറ്റുകൾ അയയ്ക്കുന്നു, അതേ എണ്ണം പാക്കറ്റുകൾ സ്വീകരിക്കുകയും ഇനിപ്പറയുന്ന സന്ദേശം പ്രദർശിപ്പിക്കുകയും ചെയ്യുന്നു

ഡിസൈൻ കംപൈൽ ചെയ്യുകയും കോൺഫിഗർ ചെയ്യുകയും ചെയ്യുന്നു Exampഹാർഡ്‌വെയറിൽ le

ഹാർഡ്‌വെയർ ഡിസൈൻ കംപൈൽ ചെയ്യാൻ മുൻampനിങ്ങളുടെ Intel Agilex ഉപകരണത്തിൽ ഇത് കോൺഫിഗർ ചെയ്യുക, ഈ ഘട്ടങ്ങൾ പാലിക്കുക:

  • ഹാർഡ്‌വെയർ ഡിസൈൻ ഉറപ്പാക്കുക exampലെ ജനറേഷൻ പൂർത്തിയായി.
  • ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ സോഫ്‌റ്റ്‌വെയറിൽ, ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് തുറക്കുകample_dir>/hardware_test_design/ altera_eth_tse_hw.qpf.
  • പ്രോസസ്സിംഗ് മെനുവിൽ, സമാഹാരം ആരംഭിക്കുക ക്ലിക്കുചെയ്യുക.
  • ഒരു വിജയകരമായ സമാഹാരത്തിനു ശേഷം, a.sof file ൽ ലഭ്യമാണ്ample_dir>/hardwarde_test_design ഡയറക്ടറി

10/100/1000 മൾട്ടിപോർട്ട് ഇഥർനെറ്റ് MAC ഡിസൈൻ എക്സ്amp1000BASE-X/SGMII പിസിഎസും എംബഡഡ് പിഎംഎയും ഉള്ള le

ഈ ഡിസൈൻ മുൻampട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് ഐപി ഉപയോഗിച്ച് Intel Agilex ഉപകരണങ്ങൾക്കുള്ള ഒരു ഇഥർനെറ്റ് പരിഹാരം le പ്രദർശിപ്പിക്കുന്നു. എക്സിയിൽ നിന്ന് നിങ്ങൾക്ക് ഡിസൈൻ സൃഷ്ടിക്കാൻ കഴിയുംample ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് IP പാരാമീറ്റർ എഡിറ്ററിന്റെ ഡിസൈൻ ടാബ്. ഡിസൈൻ സൃഷ്‌ടിക്കാൻ മുൻample, നിങ്ങൾ ആദ്യം നിങ്ങളുടെ അന്തിമ ഉൽപ്പന്നത്തിൽ സൃഷ്ടിക്കാൻ ഉദ്ദേശിക്കുന്ന IP വ്യതിയാനത്തിനായി പാരാമീറ്റർ മൂല്യങ്ങൾ സജ്ജമാക്കണം. ഡിസൈൻ സൃഷ്ടിക്കുന്നു example IP യുടെ ഒരു പകർപ്പ് സൃഷ്ടിക്കുന്നു. ടെസ്റ്റ്ബെഞ്ചും ഹാർഡ്‌വെയർ ഡിസൈനും മുൻampപരിശോധനയിൽ (DUT) ഉപകരണമായി ഐപിയുടെ പകർപ്പ് ഉപയോഗിക്കുക. നിങ്ങളുടെ അന്തിമ ഉൽപ്പന്നത്തിലെ പാരാമീറ്റർ മൂല്യങ്ങളുമായി പൊരുത്തപ്പെടുന്നതിന് DUT-നായി നിങ്ങൾ പാരാമീറ്റർ മൂല്യങ്ങൾ സജ്ജീകരിച്ചിട്ടില്ലെങ്കിൽ, ഡിസൈൻ മുൻampനിങ്ങൾ ജനറേറ്റ് ചെയ്യുന്നത് നിങ്ങൾ ഉദ്ദേശിക്കുന്ന IP വ്യതിയാനം പ്രയോഗിക്കുന്നില്ല.

ഫീച്ചറുകൾ

  • ഡിസൈൻ എക്സിറ്റ് സൃഷ്ടിക്കുന്നുampഇന്റേണൽ FIFO ഇല്ലാതെ ട്രിപ്പിൾ സ്പീഡ് ഇഥർനെറ്റ് മൾട്ടിപോർട്ട് ഇഥർനെറ്റ് MAC, മൾട്ടി-ചാനൽ പങ്കിട്ട FIFO ഉപയോഗിച്ച് LVDS I/O ഉള്ള PCS എന്നിവയ്ക്കായി le.
  • ട്രാൻസ്മിറ്റ് പാതയിൽ ട്രാഫിക് സൃഷ്ടിക്കുകയും ട്രാൻസ്‌സിവർ LVDS I/O എക്‌സ്‌റ്റേണൽ ലൂപ്പ്‌ബാക്ക് വഴി ലഭിച്ച ഡാറ്റ സാധൂകരിക്കുകയും ചെയ്യുന്നു.
  • LVDS I/O വഴി Tx, RX സീരിയൽ ബാഹ്യ ലൂപ്പ്ബാക്ക് മോഡ്.
  • ബാഹ്യ ലൂപ്പ്ബാക്ക് മാത്രം പിന്തുണയ്ക്കുന്നു.
  • നാല് പോർട്ടുകൾ മാത്രം പിന്തുണയ്ക്കുന്നു.

ഹാർഡ്‌വെയർ, സോഫ്റ്റ്‌വെയർ ആവശ്യകതകൾ

  • ഡിസൈൻ എക്‌സ് പരീക്ഷിക്കുന്നതിനായി ഇന്റൽ ഇനിപ്പറയുന്ന ഹാർഡ്‌വെയറും സോഫ്‌റ്റ്‌വെയറും ഉപയോഗിക്കുന്നുampഒരു ലിനക്സ് സിസ്റ്റത്തിൽ le:
  • ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ സോഫ്റ്റ്‌വെയർ
  • ModelSim, VCS, VCS MX, Xcelium സിമുലേറ്ററുകൾ

പ്രവർത്തന വിവരണംintel ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് Agilex FPGA IP ഡിസൈൻ എക്സ്ampലെ - 6

ഡിസൈൻ ഘടകങ്ങൾ

ഘടകം വിവരണം
ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് ഇന്റൽ FPGA IP ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് ഇന്റൽ FPGA IP (altera_eth_tse) ഇനിപ്പറയുന്ന കോൺഫിഗറേഷൻ ഉപയോഗിച്ച് ഇൻസ്റ്റന്റ് ചെയ്തിരിക്കുന്നു:

• കോർ കോൺഫിഗറേഷനുകൾ:

—   കോർ വേരിയേഷൻ: 10/100/1000Mb ഇഥർനെറ്റ് MAC ഉള്ള 1000BASE-X/SGMII പിസിഎസ്

—   ആന്തരിക FIFO ഉപയോഗിക്കുക: തിരഞ്ഞെടുത്തിട്ടില്ല

—   തുറമുഖങ്ങളുടെ എണ്ണം: 4

—   ട്രാൻസ്സിവർ തരം: LVDS I/O

• MAC ഓപ്ഷനുകൾ:

—   MAC 10/100 ഹാഫ് ഡ്യൂപ്ലെക്‌സ് പിന്തുണ പ്രവർത്തനക്ഷമമാക്കുക: തിരഞ്ഞെടുത്തു

—   MII/GMII-ൽ ലോക്കൽ ലൂപ്പ്ബാക്ക് പ്രവർത്തനക്ഷമമാക്കുക: തിരഞ്ഞെടുത്തു

—   അനുബന്ധ MAC യൂണികാസ്റ്റ് വിലാസങ്ങൾ പ്രവർത്തനക്ഷമമാക്കുക: തിരഞ്ഞെടുത്തിട്ടില്ല

—   സ്റ്റാറ്റിസ്റ്റിക്സ് കൗണ്ടറുകൾ ഉൾപ്പെടുത്തുക: തിരഞ്ഞെടുത്തു

—   64-ബിറ്റ് സ്റ്റാറ്റിസ്റ്റിക്സ് ബൈറ്റ് കൗണ്ടറുകൾ പ്രവർത്തനക്ഷമമാക്കുക: തിരഞ്ഞെടുത്തിട്ടില്ല

—   മൾട്ടികാസ്റ്റ് ഹാഷ്‌ടേബിൾ ഉൾപ്പെടുത്തുക: തിരഞ്ഞെടുത്തിട്ടില്ല

—   പാക്കറ്റ് ഹെഡറുകൾ 32-ബിറ്റ് ബൗണ്ടറിയിലേക്ക് വിന്യസിക്കുക: തിരഞ്ഞെടുത്തിട്ടില്ല

—   പൂർണ്ണ-ഡ്യുപ്ലെക്സ് ഫ്ലോ നിയന്ത്രണം പ്രവർത്തനക്ഷമമാക്കുക: തിരഞ്ഞെടുത്തു

—   VLAN കണ്ടെത്തൽ പ്രവർത്തനക്ഷമമാക്കുക: തിരഞ്ഞെടുത്തിട്ടില്ല

—   മാജിക് പാക്കറ്റ് കണ്ടെത്തൽ പ്രവർത്തനക്ഷമമാക്കുക: തിരഞ്ഞെടുത്തു

—   MDIO മൊഡ്യൂൾ (MDC/MDIO) ഉൾപ്പെടുത്തുക: തിരഞ്ഞെടുത്തു

—   ഹോസ്റ്റ് ക്ലോക്ക് ഡിവൈസർ: 50

• സമയക്രമംamp ഓപ്ഷനുകൾ:

—   സമയക്രമം പ്രവർത്തനക്ഷമമാക്കുകamping: തിരഞ്ഞെടുത്തിട്ടില്ല

• PCS/ട്രാൻസ്സീവർ ഓപ്ഷനുകൾ:

—   SGMII ബ്രിഡ്ജ് പ്രവർത്തനക്ഷമമാക്കുക: തിരഞ്ഞെടുത്തു

ക്ലയന്റ് ലോജിക് IP വഴി അയച്ചതോ സ്വീകരിച്ചതോ ആയ പാക്കറ്റുകൾ സൃഷ്ടിക്കുകയും നിരീക്ഷിക്കുകയും ചെയ്യുന്നു.
ഇഥർനെറ്റ് ട്രാഫിക് കൺട്രോളർ Avalon® മെമ്മറി-മാപ്പ് ചെയ്ത ഇന്റർഫേസ് വഴി നിയന്ത്രിക്കപ്പെടുന്നു.
JTAG Avalon മെമ്മറി-മാപ്പ് ചെയ്ത ഇന്റർഫേസ് വിലാസ ഡീകോഡറിലേക്ക് പരിവർത്തനം ജെTAG അവലോൺ മെമ്മറി-മാപ്പ് ചെയ്ത ഇന്റർഫേസിനുള്ള സിഗ്നലുകൾ.

ക്ലോക്ക്, സിഗ്നലുകൾ റീസെറ്റ് ചെയ്യുക

സിഗ്നൽ ദിശ വീതി വിവരണം
ref_clk ഇൻപുട്ട് 1 ഡ്രൈവുകൾ ആക്സസ് റഫറൻസ് ക്ലോക്കും MAC FIFO സ്റ്റാറ്റസ് ഇന്റർഫേസ് ക്ലോക്കും രജിസ്റ്റർ ചെയ്യുന്നു. ക്ലോക്ക് 100 MHz ആയി സജ്ജമാക്കുക.
iopll_refclk ഇൻപുട്ട് 1 125 Gbps സീരിയൽ LVDS I/O ഇന്റർഫേസിനായി 1.25 MHz റഫറൻസ് ക്ലോക്ക്.

സിമുലേഷൻ

സിമുലേഷൻ ടെസ്റ്റ് കേസ് ഇനിപ്പറയുന്ന ഘട്ടങ്ങൾ ചെയ്യുന്നു:

  • മുൻ ഡിസൈൻ ആരംഭിക്കുന്നുamp1G പ്രവർത്തന വേഗതയുള്ള le.
  • ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് MAC, PCS രജിസ്റ്ററുകൾ കോൺഫിഗർ ചെയ്യുന്നു.
  • അളവ് സാധുവായ സിഗ്നലിന്റെ ഉറപ്പ് വരെ കാത്തിരിക്കുന്നു.
  • PTP ഇതര പാക്കറ്റുകൾ പോർട്ട് 0 ലേക്ക് അയയ്ക്കുന്നു.
  • MAC RX പോർട്ട് 0 സ്വീകരിച്ച പാക്കറ്റുകളെ MAC TX പോർട്ട് 1-ലേക്ക് അയയ്ക്കുന്നു.

ടെസ്റ്റ് ബെഞ്ച്

ഡിസൈൻ എക്സിന്റെ ബ്ലോക്ക് ഡയഗ്രംamp10BASE-X/SGMII PCS ഉള്ള le മൾട്ടിപോർട്ട് 100/1000/1000Mb ഇഥർനെറ്റ് MAC, LVDS I/O സിമുലേഷൻ ടെസ്റ്റ്ബെഞ്ച്intel ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് Agilex FPGA IP ഡിസൈൻ എക്സ്ampലെ - 7

വിസിഎസ് സിമുലേറ്ററിന്റെ സിമുലേഷൻ ടെസ്റ്റ് ഫലംintel ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് Agilex FPGA IP ഡിസൈൻ എക്സ്ampലെ - 8 intel ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് Agilex FPGA IP ഡിസൈൻ എക്സ്ampലെ - 9

ട്രിപ്പിൾ സ്പീഡിനുള്ള ഡോക്യുമെന്റ് റിവിഷൻ ചരിത്രം ഇഥർനെറ്റ് ഇന്റൽ എഫ്പിജിഎ ഐപി ഇന്റൽ അജിലെക്സ് ഡിസൈൻ എക്സിampലെ ഉപയോക്തൃ ഗൈഡ്

പ്രമാണ പതിപ്പ് ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് IP പതിപ്പ് മാറ്റങ്ങൾ
2022.12.09 22.3 21.1.0 പ്രാരംഭ റിലീസ്.

പ്രമാണങ്ങൾ / വിഭവങ്ങൾ

intel ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് Agilex FPGA IP ഡിസൈൻ എക്സ്ample [pdf] ഉപയോക്തൃ ഗൈഡ്
ട്രിപ്പിൾ-സ്പീഡ് ഇഥർനെറ്റ് അജിലെക്സ് FPGA IP ഡിസൈൻ എക്സ്ample, ട്രിപ്പിൾ-സ്പീഡ്, ഇഥർനെറ്റ് Agilex FPGA IP ഡിസൈൻ എക്സ്ample, IP ഡിസൈൻ എക്സിample

റഫറൻസുകൾ

ഒരു അഭിപ്രായം ഇടൂ

നിങ്ങളുടെ ഇമെയിൽ വിലാസം പ്രസിദ്ധീകരിക്കില്ല. ആവശ്യമായ ഫീൽഡുകൾ അടയാളപ്പെടുത്തി *